Shallow Heavily Doped n++ Germanium by Organo-Antimony

May 23, 2017 - In this study, we report the shallow doping of Ge wafers with a monolayer doping strategy that is based on the controlled grafting of S...
7 downloads 12 Views 1MB Size
Subscriber access provided by UNIV OF ARIZONA

Article

Shallow heavily-doped n++ germanium by organo-antimony monolayer doping Thibault Alphazan, Adrian Diaz Alvarez, Francois Martin, Helen Grampeix, Virginie Enyedi, Eugenie Martinez, Névine Rochat, Marc Veillerot, Marc Dewitte, JeanPhilippe Nys, Maxime Berthe, Didier Stiévenard, Chloé Thieuleux, and Bruno Grandidier ACS Appl. Mater. Interfaces, Just Accepted Manuscript • Publication Date (Web): 23 May 2017 Downloaded from http://pubs.acs.org on May 28, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Shallow

heavily-doped

n++

germanium

by

organo-antimony

monolayer doping

Thibault Alphazan,†,§,‡ Adrian Díaz Álvarez,ǁ François Martin,† Helen Grampeix,† Virginie Enyedi,† Eugénie Martinez,† Névine Rochat,† Marc Veillerot,† Marc Dewitte,ǁ Jean-Philippe Nys,ǁ Maxime Berthe,ǁ Didier Stiévenard,ǁ Chloé Thieuleux§,* and Bruno Grandidierǁ,* †

Univ. Grenoble Alpes, F-38000 Grenoble, France, CEA, LETI, MINATEC Campus, F-38054

Grenoble, France. §

C2P2, CPE Lyon, 43 Bd du 11 Nov. 1918, 69616 Villeurbanne cedex France



Univ. Lille, CNRS, Centrale Lille, ISEN, Univ. Valenciennes, UMR 8520 - IEMN, F-59000

Lille, France

Abstract Functionalization of Ge surfaces with the aim of incorporating specific dopant atoms to form high quality junctions is of particular importance for the future generation of solid state devices. We report the shallow doping of Ge wafers with a monolayer doping strategy that is based on the controlled grafting of Sb-precursors and the subsequent diffusion of Sb into the wafer upon annealing. We highlight the key role of citric acid to passivate the surface prior to its reaction with the Sb-precursors and the benefit of a protective SiO2 overlayer that enables the efficient incorporation of Sb dopants with a concentration higher than 1020 cm-3. Microscopic four-point probe measurements and photoconductivity experiments show the full electrical activation of the Sb dopants, giving rise to the formation of a n++ Sb-doped layer and an enhanced local field effect passivation at the surface of the Ge wafer.

Keywords: monolayer doping, germanium, antimony, shallow junction, dopant activation

1 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 26

Introduction Over the past few years, the growing need of emerging materials allowing for highperformance devices such as metal–oxide–semiconductor field effect transistors (MOSFETs) or photodiodes has driven researchers’ attention back to germanium (Ge) because of its higher electron and hole mobilities as compared with silicon (Si),1,2,3 as well as its photoactive properties.4 However, Ge-related doping issues such as defect-free doping coupled with stable surface passivation are still challenging.5 Structural defects, originating from the commonly used ion-implantation technique, cannot be easily removed by conventional annealing and result in degraded electrical junction properties for photodiode applications.6 For large dopant atoms such as arsenic (As) and antimony (Sb), whose implantation is more destructive than for small dopants such as phosphorus (P) and boron (B), subsequent laser annealing (local melting) is required to suppress the majority of the structural defects, albeit with loss of the junction abruptness.7,8 Non-destructive doping by either Spin on Glass (SOG) Deposition followed by annealing9,10 or by gas phase doping6 have provided materials with good electrical properties and reverse diode characteristics, but showed difficulties to simultaneously control the diffusion depth and full electrical activation of the dopants in germanium thin films and ultrathin Ge-on-insulator (GOI) structures for advanced CMOS devices,11 thus calling for more efficient doping methods. One of them is based on low pressure chemical vapour deposition of dopant precursors such as phosphine molecules on a clean and well-ordered Ge surface in ultrahigh vacuum and the subsequent encapsulation of the doped layer by an epitaxial capped Ge layer.12,13 However in-situ conditions and the need for a stacking of multiple doped layers,14 due to the limited surface reactions of the precursors in each deposition cycle, make this technique cumbersome and hard to use, in particular with GOI structures. An alternative method is the so-called Monolayer Doping (MLD, also referred as Molecular Layer Doping),15,16 where dopant-containing molecules are first grafted to the surface of a wafer and then thermally decomposed to allow the diffusion of the dopant atoms into substitutional 2 ACS Paragon Plus Environment

Page 3 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

lattice sites below the surface of the semiconductor material. Compared to ion implantation, MLD is much milder and have been demonstrated to yield abrupt junctions (0.6 nm/dec) with reduced depths not exceeding 5 nm.16,17 It is particularly appealing for the formation of localized ultrashallow junctions in nanoscale patterns and nonplanar surface structures,18,19 such as FinFET devices with gate length of 40 nm and fin width of 20 nm.16 It is also free from a change of the surface morphology through the occurrence of pits that are found with the SOG technique, due to the influence of atmosphere moisture content on the sol-gel process.20 However MLD is based on the use of organic precursors and the incorporation of carbon during the annealing step might be problematic, although previous works suggested that the carbon contamination is limited to the first atomic layers beneath the surface21 and does not significantly affect the leakage current in devices.17 While the MLD concept applied to silicon with small atoms (P, B) was extensively studied22,23,24,25 and has recently been combined with new activation steps,26 doping with bigger, and thus less diffusing atoms (such as arsenic), remain scarce.27,28,29 For Ge in particular, this doping technique is still in its infancy, one main limitation originating from the lower solid solubility of dopants inserted by diffusion from a monolayer as compared to Si.4 In this work, we apply the MLD concept to the doping of Ge with antimony and investigate the incorporation as well as the electrical activation of Sb dopants in Ge. As the antimony content of SbxOy layers is strongly impacted by volatilization upon annealing at moderate temperature,30 we use a tailored-made organo-antimony compound recently developed,31 taking advantage of its silica-like cage ligand to embed the dopant. Moreover as the sublimation of germanium oxide occurs above 600°C,32 we introduce an optimized, dense SiO2 layer deposited by plasma-enhanced atomic layer deposition (PEALD) at low temperature (50°C), in order to prevent the loss of Sb atoms grafted onto the germanium oxide during the thermal treatment of the Ge wafer. Finally, before the grafting of the Sbprecursors, a specific surface preparation and passivation of Ge with citric acid33 is used instead of mineral acids, that are known to increase the surface roughness and provides unstable surface.34 Interestingly, citric acid (CA) is a non-hazardous and eco-friendly organic 3 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 26

acid that does not suffer from safety issues (toxicity, corrosive nature), and makes the chemisorption of molecules possible as shown in the case of sulfur-containing molecules.33 The grafting of the molecule as well as the efficiency of the protection schemes have then been

analyzed

by

spectroscopic

techniques,

elemental

analyses

and

transport

measurements. Shallow doping with more than 1020 Sb.cm-3 is demonstrated, leading to the formation of a n++/p junction, where the Sb-doped layer shows a quasi-metallic twodimensional electrical character with a reduced surface recombination velocity.

Methods Initial experiments were performed on Ge particles to ensure that the GeOx removal methodology of this study was transferable to Ge wafers. Ge particles with mean diameter of 0.3 mm were sonicated in acetone and methanol for 15 min. They were then oxidized by sonication in H2O2 for 15 min, thoroughly rinsed (10 times) with deionized water (DI), and finally treated with 1 M solution of CA (see Supplementary Information). To determine the influence of the temperature on the surface preparation with CA cleaning, treatment with a hot solution at 85°C for about 1 hour was compared to the existing recipe at room temperature (RT).33 After being thoroughly rinsed with DI to avoid physisorbed species, CAtreated Ge particles were dried under vacuum (10-3 mbar) and directly analyzed by Diffuse Reflectance Infrared Fourier Transform (DRIFT) spectroscopy using a Nicolet 6700 FT-IR spectrometer purged under N2 and equipped with a HgCdTe (MCT) detector cooled with liquid N2. Raw and freshly H2O2- P-type Ga-doped Ge (100) wafers were treated with CA in similar conditions, at 85°C. After thorough rinsing with DI, samples were steam dried with N2 and were stored under inert atmosphere until their analysis with multiple internal reflection (MIR) FTIR on a Bruker IFS 55 FTIR spectrometer purged under N2.35 Hepta-isobutyl polyhedral oligomeric silsesquioxane (POSS) antimony triester (POSS)-Sb was prepared from SbCl3 and POSS-triol according to literature procedures.36 Deposition of the Sb precursors was achieved by refluxing an acetonitrile solution of POSS-

4 ACS Paragon Plus Environment

Page 5 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Sb (1.5 mol/l) on CA-treated Ge (100) wafers overnight, under inert atmosphere. After cooling the reflux setup, thorough rinsing with acetonitrile and pentane allowed for removing physisorbed (unreacted) compounds. The Sb-functionalized CA/Ge wafer was subsequently dried in vacuo and stored in glovebox. Some samples were then capped by a dense SiO2 layer deposited by Plasma-Enhanced Atomic Layer Deposition (PEALD), before annealing. The vapour phase decomposition (VPD) method coupled with inductively coupled plasma mass spectrometry (ICP/MS) was used in order to measure the antimony content of the Ge overlayers, as described in a previous study.24 Wafers were annealed in a JetFirst 200 furnace from Jipelec after vacuum purge. The chamber was first stabilized at 300°C, then heated up to 550°C (10°C.s-1) for 5 min and finally heated at 600°C for 10 s. The samples were characterized by X-ray photoelectron spectroscopy (XPS) on a VersaProbe II spectrometer from Physical Electronics equipped with a 180° hemispherical electron energy analyzer, using a high-resolution monochromatic Al-Kα line X-ray source at 1486.7 eV. A pass energy of 23 eV was used for core level analysis leading to an overall energy resolution of 0.6 eV. The photoelectron take-off angle was 45°, yielding an integrated sampling depth of approximately 5 nm. Measurements were made at ambient temperature, at a pressure lower than 10-8 Pa in the analysis chamber. All spectra were referenced against the Ge 3d core level peak at a binding energy of 29.8 eV, otherwise stated. Magnetic sector Secondary Ion Mass Spectrometry (SIMS) analyses were performed on a SC Ultra instrument from CAMECA, with an impact energy (Cs+ beam) of 500 eV for Sb, Ge, O, C and Si depth profiling. The concentration of antimony was determined from RSFactors relative to the

74

Ge signal established from a reference sample analyzed in the

same conditions. Wafer pieces were cut into 1×1 cm² samples and stored in the glovebox before analysis. Composition-depth profiles of the samples were also obtained by combining a sequence of 3 keV Ar+ ion gun etch cycles interleaved with Auger electron spectroscopy in an ultrahigh vacuum (UHV) system. The sequence of etching and spectrum acquisition was repeated until the Sb Auger signal (MNN; 454 eV) was below the detection limit. As the rate 5 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 26

at which material is removed by the ion gun may vary between the SiO2 capping layer and the Ge substrate, spatially-resolved Auger electron spectroscopy was also performed across the crater at the end of the sequence as a countercheck of the depth profile. The Sb concentration was determined from the comparison of the measured peak area with the one obtained from Auger electron spectroscopy of a GaSb crystal. Four point-probe resistivity measurements were carried out with an experimental setup, consisting of a scanning electron microscope (SEM) and a multiprobe scanning tunnelling microscope (Nanoprobe, Omicron Nanotechnology), that was operated with a control system able to run four STM tips independently (Nanonis multiprobe control system, SPECS). The electrical measurements were performed in UHV (base pressure lower than 5x10-10 mbar) and in the dark, always with the electron beam turned off. Prior to the electrical measurements, the SiO2 capping layer was removed by briefly dipping the samples into dilute hydrofluoric acid. The quality of the surface passivation was then characterized with Auger spectroscopy to ensure that the Ge surface had not been etched and that the Sb concentration was still equal to the one measured at the interface from Auger depth profiling. The tungsten STM tips, used to make the electrical contacts, were thoroughly cleaned by direct resistive heating and their radius was controlled in field emission in the preparation chamber. Such a treatment ensured the formation of reproducible ohmic contacts between the STM tips and the sample surface. The resistivity measurements were performed with the four STM tips equally spaced and aligned along a straight line, the tip separation being monitored with the SEM. In this probe configuration, the current was applied through the outer tips and the voltage drop was measured between the inner tips. Photoconductivity experiments was performed in air using a monochromatic source (ORIEL) with a 200 W tungsten light, allowing a spectroscopic analysis from 300 nm to 1200 nm. The photon flux was calibrated using a thermopile detector with a broad flat spectral response from 200 nm to 50 microns. All the photocurrent curves were therefore normalized and thus independent of the intensity of the source. In order to increase the signal/noise ratio, the light flux was chopped at a frequency of 30 Hz and a lock-in detection was used for 6 ACS Paragon Plus Environment

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

a high signal/noise detection. Significant sensitivity to the surface recombination velocity was achieved by using a point contact as the top electrical contact, consisting of a gold probe tip that pierced the SiO2 capping layer. The rear contact with the Ge substrate was made ohmic.

Results and discussion The study of the oxide removal from Ge surfaces was initially investigated with Ge particles by characterizing the v(Ge-O) modes (1100-920 cm-1) at the particle surface with FTIR spectroscopy. Intense GeOx bands, that are still present after treatment with CA at RT, are clearly attenuated after performing the cleaning step at 85°C (Figure 1). Moreover, the strong reduction of the v(C=O) modes at 1726 and 1670 cm-1, that arise from the adsorption of neutral and anionic species derived from CA, respectively, demonstrates the asset of etching the surface at 85°C in order to limit the adsorption of CA-based residues while removing the oxide layer. Such a result appears to be consistent with the benefits encountered when metals are treated with CA at temperature higher than RT.37

ν(C=O)

ν(Ge-O)

0.5 a.u

Page 7 of 26

c)

b)

a) 1800

1600

1400

1200

1000

800

Wavenumber (cm-1)

Figure 1. IR (DRIFT) spectra of (a) Ge particles (oxidized), (b) cleaned with citric acid 1M at RT (50 min), and (c) cleaned with citric acid 1M at 85°C (1h).

This cleaning methodology was then transferred to Ge wafers. The MIR-FTIR spectrum of Ge wafers treated with CA (CA/Ge) at 85°C and referenced to the raw Ge

7 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

wafers (Figure 2) evidences the removal of GeOx species, as shown by the strong negative Ge-O feature at ~920 cm -1. In agreement with the experiments performed with the Ge particles, citrate-like surface species are also visible through the observations of (i) the positive bands between 3000 and 2850 cm-1, assigned to C-H stretching vibrations belonging to the carbonaceous skeleton of organic species, (ii) the positive bands at 1665 and 1585 cm-1 assigned to the asymmetric stretching motions of the carboxylate species,33 (iii) the weak shoulder at 1727 cm-1 that suggests the presence of partially protonated (C(=O)OH) species, and (iv) the band at 1264 cm-1 assigned to coupled stretching mode and bending mode of C-(OH) and C-O-H respectively. Finally, the broad band centered at 3400 cm-1 (v(OH)) related to intra- and intermolecular H-bonding, could be related to citrate-like surface species but also to the hydroxyl-groups at the surface of the oxide layer.

ν(OH)

ν(CH)

ν(C=O)

3600 3300 3000 2700

0.005

Absorbance

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 26

ν(Ge-O) 3600

3300 3000

2700 2000 1700 1400 1100

800

Wavenumber (cm-1)

Figure 2: Differential MIR-FTIR spectrum of citric acid treated Ge surface (CA/Ge). The reference spectrum corresponds to the freshly oxidized surface of the same Ge wafer.

While the components of the organic layer on CA-treated samples also appear on the peak decomposition of the C1s core level with three different carbon species assigned to CC (284.8 eV), C-O (286.8 eV) and C=O (288.8 eV) (not shown), it is insightful to look at the modification of the core level spectra of Ge. As seen in Figure 3, the contribution of the oxidized Ge species strongly decreases after a CA treatment, consistent with the previous 8 ACS Paragon Plus Environment

Page 9 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

results. Identification of the residual oxide species is then obtained with the analysis of the Ge 2p core level that is more sensitive to the surface species than the Ge 3d core level. In addition to the major bulk-like Ge peak (1217.5 eV), this spectrum shows indeed a shoulder at higher binding energy (~1219.4 eV), that is ascribed to Ge-O bonds, probably due to the close vicinity between O and Ge atoms of citrate species bound to Ge. We thus suggest that the reaction of CA with GeOx generate a mixture of surface species, mostly composed of citrate species, leading to the stabilization of the Ge surface, as proposed in the literature for various minerals and metals.38,39,40 A quantitative analysis of the peak ratio between the bulk Ge component and the oxidized Ge species allows to estimate the variation of the oxide layer thickness. This layer decreases from a thickness of 3.8 nm (raw substrate with native oxide) to a thickness of 0.5 nm after a CA-treatment of the Ge wafer (Table 1). To further investigate the stability of the CA/Ge surface, we left the samples under ambient conditions (air, 23°C, ~50% relative humidity) and periodically controlled the thickness of the GeOx layer (as estimated by XPS). As shown in Table 1, the thickness increases to 1.0 nm after 1 h (i.e +0.5 nm), and gets stabilized at 1.4 nm after 1 week. While this result shows that the CA treatment of Ge surface alone is not as efficient as its subsequent thiolation to reduce the surface oxidation at minimum,33 such a treatment appears to be relevant for processes with lag time below 1 hour.

9 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 26

Figure 3. X-ray photoemission spectra of the Ge 2p core level spectra measured on the (raw) Ge substrate (with native oxide) and the citric acid treated (cleaned) sample (CA/Ge). Insert: X-ray photoemission spectra of the Ge 3d core level spectra.

Table1. Variation of the oxide layer thickness versus lag time under air ambient estimated from the ratio R between the oxidized and bulk components in the Ge 2p core level spectra.

Raw Ge

6.27

Thickness (nm) 3.8

CA-treated

0.25

0.5

Ge – 1h

0.57

1.0

Ge – 3h

0.71

1.2

Ge – 24h

0.71

1.2

Ge- 1 week After deposition of SbPOSS

0.93

1.4

0.54

1.0

Samples

R

Monolayer formation of antimony species was then investigated on carefully cleaned CA/Ge surfaces stored under inert atmosphere, using two antimony precursors: [Sb(OEt)3]2 and POSS-Sb. After deposition of [Sb(OEt)3]2 on CA/Ge, the surface was covered with a non-uniform white film, probably coming from the uncontrolled decomposition of this precursor, and leading to non-reproducible samples as analyzed by XPS and VPD. Conversely, XPS analyses of CA/Ge surfaces functionalized with POSS-Sb revealed the reproducible presence of Sb-containing surface species, as shown in Figure 4. The energy positions of the Sb 3d5/2 and 3d3/2 contributions, located at ~530.4 eV and ~539.7 eV respectively, are slightly shifted with respect their counterparts in the molecular Sb(III) compound (~531.0 eV and ~540.3 eV), indicating a chemical modification of the Sb precursor after grafting on the surface. Moreover, a weak Si 2p peak at ~103 eV confirms the presence of Si atoms of the POSS scaffold on the surface (inset of Figure 4). While the signal corresponding to GeO species in the deconvolution of the Ge 2p core level spectrum does not significantly increase (Figure 5a), suggesting little oxidation of the extreme surface after POSS-Sb grafting, we suspect POSS-Sb to partially react with – OH groups provided by the citrate species that are bound to the Ge surface. Surprisingly, quantification of Sb and Si highlights a silicon content that is 7 times lower than expected, meaning that the POSS scaffold decomposes upon reaction to generate antimony species 10 ACS Paragon Plus Environment

Page 11 of 26

whose structure cannot be defined precisely. Additional analysis by VPD-ICPMS confirms the adsorption of Sb atoms on the CA/Ge surface to the extent of 6.2x1014 Sb atoms.cm-2, a concentration that should be high enough to significantly dope the top region of the Ge wafer.

Si 2p

c/s

40

Sb 3d Sb-POSS/CA/Ge

108 106 104 102 100 98

BE (eV)

c/s Sb 3d3/2 540.5

O 1s 532.2

Sb 3d5/2 531.2

Sb-POSS *

*

545

540

a.u

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

535

530

525

520

Binding Energy (eV) Figure 4. X-ray photoemission spectra of the Sb 3d core level spectra and their deconvolution for the unbound molecular Sb-POSS (black, bottom) and the Sb-POSS/CA/Ge wafer (red, top). The spectra are referenced against the C1s level peak at 284.8 eV. * denotes reduced Sb species due to the decomposition of Sb-POSS during the XPS analysis. Insert: Si 2p core level peak of the Sb-POSS/CA/Ge wafer.

As the diffusion of Sb into the Ge wafer requires an annealing at the temperature threshold of 600°C, for which Sb desorption might happen concomitantly with the GeO volatilization,32,41 the partial loss of the protective silica scaffold of Sb-POSS was thus counterbalanced by the deposition of a thin 3 nm PEALD SiO2 layer. This layer was deposited using bis-diethyl-diaminosilane and NH3/O2 plasma pulses.42 In particular, the use of both NH3 plasma pulse intercalation and low treatment temperature (50°C) enhances the removal of C-N bonds, what makes available additional chemisorption sites for the next Si precursor pulse step and leads to the formation of a denser SiO2 film (Supporting Information Figures S1-S2). After this processing step, XPS measurements performed on the Sbfunctionalized CA/Ge sample capped with the SiO2 layer confirm the presence of Sb atoms 11 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

at the interface (Figure 5b), albeit a slight increase of the Ge surface oxidation, as revealed by the appearance of a GeO2 peak (33.0 eV) on the Ge 3d high resolution XPS spectrum. The 0.3 eV shift of the Sb 4d peak toward higher binding energies also suggests a modification of the chemical environment of the Sb atoms or its higher degree of oxidation that is consistent with a stronger oxidation of the Ge surface.

100

Ge 3d GeO

ΔBE = 0.3

Sb 4d

c/s

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 26

GeO2

Ge0

b)

a)

38

x5

37

36

35

34

33

32

31

30

29

Binding Energy (eV)

Figure 5. Ge 3d core level spectra of Sb-functionalized CA/Ge surface, before (a) and after (b) deposition of a PEALD SiO2 layer, obtained by XPS.

Comparison of the Sb concentration profiles measured with SIMS before and after the annealing step reveals, in Figure 6a, the diffusion of antimony into the Ge wafer through the observation of a complementary error function profile with a peak concentration of 5.5x1020 Sb.cm-3. As better seen in the inset of Figure 6a, the intensity of the Sb signal after annealing is about one order of magnitude larger than the intensity of the trailing slope observed prior to the annealing in the region beneath the interface. For depths larger than 8 nm, the signal diminishes exponentially with depth with a characteristic decay length, that varies from sample to sample as shown by the slight change of slope between Figure 6a and the inset of Figure 6a, yielding a mean value of 3.5±1.0 nm. A limited diffusion length is also found for the annealed Sb-functionalized CA/Ge sample without a SiO2 capping layer, even though the concentration of Sb incorporated into the Ge wafer is smaller due to the partial sublimation of Sb during the annealing. Therefore the diffusion length is rather limited with respect to the

12 ACS Paragon Plus Environment

Page 13 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

one usually encountered for Sb in Ge.43,44 Opposite to conventional doping methods, the Sb impurities are not incorporated in Ge before annealing. Thus, we suspect that the redistribution of the atoms in the capping layer upon annealing might delay the diffusion of antimony and causes the formation of a such a shallow junction. A putative presence of a small amount of carbon that is known to interact with intrinsic defects could also act as an efficient retarding effect, in particular when the SiO2 capping layer is absent.45

Figure 6. (a) Intensity profiles for

74

Ge,

12

C,

28

Si,

18

O and concentration profile of 121Sb of the Sb-functionalized

CA/Ge sample with a SiO2 capping layer measured after thermal treatment. Inset: Intensity profiles for

121

Sb of the

13 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 26

Sb-functionalized CA/Ge sample with a SiO2 capping layer measured (s1) before, (s2) after thermal treatment and of the annealed Sb-functionalized CA/Ge sample without a SiO2 capping layer. The vertical dashed line indicates the intended position of the interface between the SiO2 capping layer and the Ge wafer prior to the annealing. (b) AES differential peak-to-peak intensity versus depth of the silicon, germanium and oxygen transitions, whose energies are highlighted in the graph, for the Sb-functionalized CA/Ge sample with a SiO2 capping layer after thermal treatment. Conversion of the AES peak area for the Sb MNN transition into Sb concentration versus depth. The horizontal dashed lines indicate the limit of detection.

As SIMS profiles are known to be inaccurate during the initial stage of the sputtering process21 and suffers from significant mass transport with atomic elements of increasing mass such as antimony, leading to slow trailing slope,46,47 Auger depth profiling was also performed on the Sb-functionalized CA/Ge sample capped with the SiO2 layer. It confirms the presence of a concentration higher than 1020 Sb.cm -3 on a deeper region than the thickness of the SiO2 film. While this concentration is higher than the maximum reported solubility of Sb in Ge (~1x1019 Sb.cm-3),48 it is in agreement with the magnitude found in recent works using laser annealing instead of rapid thermal anneal.7,8 Moreover, the Auger depth profile does not show a segregation of Sb at the interface, in contrast to the intense signal seen in the capping layer region with SIMS. As a similar effect is also observed for the other analysed atomic elements, we attribute this artefact in the SIMS profiles to the buildup of the steady state, where the signal intensity is known to change much more dramatically and non-linearly during the pre-equilibrium regime.47 Based on the unrealistic Sb peak concentration found in Ref. 11, we speculate that oxygen might also contribute to enhance the Sb signal of the SIMS profile at the surface of the samples. In Figure 6b, the interface is marked by the decrease of the Si Auger peak and the increase of the Ge Auger peak 2.5 nm below the surface of the SiO2 layer, indicating a slight contraction of the SiO2 layer due to the annealing, consistent with the speculative redistribution of atoms in the capping layer as mentioned above. We find that the Sb concentration in the first nanometers of the Ge material decreases more abruptly than the one measured with SIMS. Below 5.4 nm, the Sb concentration becomes lower than the limit

14 ACS Paragon Plus Environment

Page 15 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

of detection for our Auger electron spectroscopy setup. Based on the Auger depth profile, the threshold for the detection of Sb in the SIMS setup (1x1018 Sb.cm-3), and taking into account the trailing slope in the SIMS profile, we estimate the junction depth to lie between 10 and 20 nm below the Ge surface. Similarly, to the sharp decay of the Sb intensity seen in the Auger depth profile, a steep change is measured for the Si and O signals, indicating a lack of diffusion of Si and O species into the Ge wafer. This is in contrast to the SIMS profiles where mass transport smoothens the trailing slope. No significant amount of carbon is also detected across the interface, consistent with the partial loss of the protective silica scaffold of SbPOSS as mentioned above. Of paramount importance to account for the high concentration of Sb atoms beneath the Ge surface is the presence of the SiO2 capping layer before RTP: only trace amounts of Sb were observed onto the Ge surface (VPD-ICPMS) and in the Ge wafer after thermal treatment of Sb-functionalized CA/Ge sample without the SiO2 capping layer (see SIMS profile of the inset of Figure 6a, no detection of Sb with Auger spectroscopy), resulting in negligible Sb doping in this case. In order to ascertain the electrical activation of the Sb dopants in germanium, fourpoint probe measurements were performed at variable probe spacings, as shown in Figure 7. Based on the SEM images, as the one shown in the inset of Figure 7, etching the surface with HF prior to the transport measurements, did not reveal the presence of pits or clustering whatever the observation scale from microns to a few nanometers was (2 nm being the resolution of the electron microscope). At probe separations d larger than 20 µm, the resistance R of the Sb-functionalized CA/Ge sample initially capped with the SiO2 layer fluctuates around ~ 400 Ω. Based on the thickness of the raw Ge wafer (700 µm), this resistance yields a resistivity ρ = 29 Ω.cm, that corresponds to the intentional dopant concentration of 1-3 x 1014 Ga.cm-3 in the bulk. Such a result is well explained by the large separations between the two outer STM tips. Indeed, at large probe separation, the current distribution spreads over the whole thickness of the wafer, making the contribution of the ptype bulk dominant. With smaller and smaller probe separations, the resistance stays constant or even shrinks, opposite to the expected increase of the resistance for a 15 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 26

homogeneous and isotropic thick-enough three-dimensional resistive semiconductor layer where R = ρ/2πd.49 This behavior, that yields a resistance barely dependent on the probe spacing, is the signature of an electrical transport through a quasi-metallic two-dimensional sheet at the surface of the semiconductor wafer.50 We note that a similar two-dimensional conductance has recently been obtained for Sb-doped Ge(100) wafers (bulk concentration ~ 1018 Sb.cm-3), despite a different surface preparation with annealing steps at much higher temperatures, up to 760°C prior to the passivation of the (100) surface with hydrogen.51

Figure 7. Electrical resistance of the bare (triangle symbols) and protected (square symbols) Sb-functionalized CA/Ge samples measured with four-probe scanning tunneling microscopy as a function of the probe spacing. The probes are arranged in a collinear array with equidistant contact spacing, as shown in the SEM image, that was acquired on an annealed Sb-functionalized CA/Ge sample with a SiO2 capping layer, subsequently removed by a brief dip into dilute hydrofluoric acid prior to the four-probe electrical measurements. The light blue band highlights the region where the resistance is inversely proportional to the probe spacing, corresponding to a resistivity of 6 Ω.cm. The dashed segment indicates the theoretical value of the resistance value at large probe spacing for the bulk resistivity of 29 Ω.cm measured in the raw Ge wafer. Inset: I-V characteristics of the annealed Sbfunctionalized CA/Ge sample with a SiO2 capping layer and the raw p-type doped Ge wafer. The I-V curves were

16 ACS Paragon Plus Environment

Page 17 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

measured with one probe in contact with the top surface and a second one connected to the rear ohmic contact. η is the ideality factor of the I-V diode characteristics.

Without the SiO2 capping, the behavior of the measured resistance as a function of the probe spacing clearly changes. The linear increase of the resistance for smaller and smaller tip separations between 20 and 1 µm indicates a three-dimensional resistance semiconductor layer, before saturation of the resistance at even smaller tip separation. As only traces of Sb were detected with Auger spectroscopy in this sample, we attribute this increase of resistance to the current lines crossing the Sb-doped surface and the space charge layer between the n and p regions, and extending to a limited depth into the bulk. As a result, the comparison of the change of resistance between the capped and uncapped Sbfunctionalized CA/Ge wafers strongly supports the formation of a degenerate layer in the capped sample. Based on the measured resistance smaller than 100 Ω for the capped Sbfunctionalized CA/Ge wafer and assuming a thickness t of the degenerate layer of a few nanometers only, we find a resistivity ρ2D = πtR/ln2 that corresponds to a doping level in the range of 1020-1021 Sb.cm-3,48,52 consistent with the concentration obtained from the Auger analysis. The formation of a p-n++ junction resulting from the successful incorporation and subsequent electrical activation of Sb dopants is also evidenced when the behavior of the diode is characterized in the dark with one probe piercing the capping layer and a second one connected to the rear ohmic contact. As shown in the inset of Figure 7, a drastic increase of the current is obtained at negative bias when the I-V characteristics measured on the capped Sb-functionalized CA/Ge wafers and the raw p-type doped Ge wafer are compared. We note that the low magnitude of rectification observed for the diode might be caused by the absence of contact metallization. The formation of a junction is also evidenced upon illumination of the diode. For wavelengths smaller than the absorption threshold of Ge (1875 nm), a normalized photocurrent is generated in the Sb-functionalized CA/Ge wafers, that is higher by nine orders of magnitude with respect to the one measured with the raw p17 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 26

type doped Ge wafer (Figure 8). Comparison between the capped and uncapped Sbfunctionalized CA/Ge wafers reveals a higher normalized photocurrent when the functionalized Ge wafer is protected with the SiO2 capping layer. This behaviour can be qualitatively explained by a higher doping level, which increases the tunnelling transparency of the potential barrier between the gold tip and the n-type layer at the top of the Ge wafer, giving rise to an ohmic-like contact.53,54

Figure 8. Wavelength dependence of the photocurrent measured on the bare (triangle symbols) and protected (square symbols) Sb-functionalized CA/Ge samples with a gold probe tip that pierces the oxide layer to perform the experiments. Inset: Photocurrent versus wavelength for the raw Ge wafer.

Moreover, at short wavelengths, the radiation is absorbed on a limited depth, smaller than the depletion width of 1.0 µm, due to a stronger absorption coefficient. Because of the proximity of the surface, a significant amount of the photocarriers generated in this region recombine, thus reducing the response of the diode to short wavelengths of incident light. We can thus extract the surface recombination velocity S from the ratio of the photocurrents 18 ACS Paragon Plus Environment

Page 19 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

measured at a wavelength, for which the inverse of the absorption coefficient is much larger than the depletion width, 1.0 µm for example, and at a short wavelength (885 nm yielding an inverse of the absorption coefficient of 0.2 µm). This ratio corresponds to the ratio between the minority carriers generated in the p-type material for both wavelengths (see Supporting Information), that can be calculated using typical values of 1 ms and 0.9 cm2.s-1 for the electron lifetime and diffusion length respectively in our p-type doped Ge wafer.55 Based on the measured ratios of 3.0 and 2.4 for the Sb-functionalized CA/Ge sample with and without the SiO2 capping, we deduce surface recombination velocities of 2.7x104 cm.s-1 and 7.2x104 cm.s-1, consistent with the literature.55 The smaller recombination velocities found for the Sbfunctionalized CA/Ge sample with the SiO2 capping can be explained by a stronger local field effect passivation,56 where the positive charges of the ionized Sb dopants repel holes and decreases the surface recombination rate. As this field depends on the concentration of the Sb dopants, such a result is consistent with a more efficient incorporation of the Sb atoms into the Sb-functionalized CA/Ge sample with the SiO2 capping.

Conclusion We have applied the monolayer doping concept to the incorporation of Sb dopants into Ge wafers. The success of this approach relies on (i) the use of citric acid to etch the native germanium oxide layer and passivate the wafer surface, (ii) the choice of POSS-Sb as a precursor to anchor a quantified amount of Sb atoms directly at the surface of the Ge wafer in concentration larger than the density of adsorbed POSS-Sb precursors, due to the release of the scaffold after grafting, and (iii) the protection of the Sb-terminated germanium oxide layer by a thin SiO2 layer that avoids the sublimation of Sb atoms during thermal treatment. As a result, a high amount of Sb dopants is incorporated below the surface of the Ge wafer with a significantly improved control of their electrical activation, that leads to the formation of a shallow heavily-doped n++/p junction. Our mild technological concept, which opens a new alternative to SOG dopant source for defect free doping of germanium is suitable for the 19 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 26

microelectronic industry and should be of particular interest for devices based on ultrathin Ge-on-insulator structures.

ASSOCIATED CONTENT Supporting information Additional information and experimental data, including the synthesis of the POSS-Sb precursor, the surface preparation of Ge particles and Ge wafers, the characterization of the SiO2 overlayer and the determination of the surface recombination velocity. The Supporting Information is available free of charge on the ACS Publications website.

AUTHOR INFORMATION Corresponding Authors *Email: [email protected]; [email protected] ‡ Current address: EURECAT SA, ZI Jean-Jaurès, 121 av. Marie-Curie - BP 45, 07800 La Voulte-sur-Rhône, France Notes The authors declare no competing financial interest.

ACKNOWLEDGMENTS T.A was supported by the transversal CEA project Zero POVA. The surface chemistry was performed in the framework of the partnership between C2P2 Laboratory (UMR 5265 CNRS CPE Lyon Université Claude Bernard Lyon 1) and the “Technological Research Division” (DRT) from CEA within the Nano-chemistry platform at CPE Lyon. We thank M. Sanquer (DSM/INAC), L.Vandroux (DRT/LETI), L.Veyre (C2P2-LCOMS), C. Copéret (ETH Zürich) for their continuous support, J. Widiez, V. Beugin, C. Cantin, M. Danielou, S. Kerdiles, J-P Barnes (DRT/LETI) for their assistance in sample supply, processing, and characterization. P. Bajolet and P. Beluze from ASM France are greatly acknowledged for their support on low temperature PEALD SiO2 development. IEMN thanks the European Community’s Seventh Framework Program (Grant No. PITN-GA-2012-316751, “Nanoembrace” Project), the RENATECH network, the French National Research Agency (GENESE Project No. ANR13-BS09-0020, the Equipex Programs Excelsior ANR-11-EQPX-0015).

20 ACS Paragon Plus Environment

Page 21 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

REFERENCES (1) Pillarisetty, R. Academic and Industry Research Progress in Germanium Nanodevices, Nature

2011, 479, 324–328. (2) Wu, H.; Luo, W.; Zhou, H.; Si, M.; Zhang, J.; Ye, P. D. First Experimental Demonstration of Ge 3D FinFET CMOS Circuits; Symposium on VLSI Technology, IEEE 2015, T58–T59. (3) Zeng, L. H.; Wang, M. Z.; Hu, H.; Nie, B.; Yu, Y. Q.; Wu, C. Y.; Wang, L.; Hu, J.-G.; Xie, C.; Liang, F.-X.; Luo, L. B. Monolayer Graphene/Germanium Schottky Junction as High-Performance Self-Driven Infrared Light Photodetector. ACS Appl. Mater. Interfaces 2013, 5, 9362-9366. (4) Lin, J. Y. J.; Gupta, S.; Huang, Y. C.; Kim, Y.; Jin, M.; Sanchez, E.; Chen, R.; Balram, K.; Miller, D.; Harris, J.; Saraswat, K.. Fabrication of GeSn-On-Insulator (GSOI) to Enable Monolithic 3D Co-Integration of Logic and Photonics. Symposium on VLSI Technology, IEEE 2013, T32–T33. (5) Duffy, R.; Shayesteh, M.; Kazadojev, I.; Ran Yu. Germanium Doping Challenges. In Junction

Technology (IWJT), 2013 13th International Workshop on, IEEE 2013, 16–21. (6) Takenaka, M.; Morii, K.; Sugiyama, M.; Nakano, Y.; Takagi, S. Dark Current Reduction of Ge Photodetector by GeO2 Surface Passivation and Gas-Phase Doping. Opt. Express 2012, 20, 87188725. (7) Bruno, E.; Scapellato, G. G.; Bisognin, G.; Carria, E.; Romano, L.; Carnera, A.; Priolo, F. High-Level Incorporation of Antimony in Germanium by Laser Annealing. J. Appl. Phys. 2010, 108, 124902. (8) Borland, J.; Herman, J.; Novak, S.; Onoda, H.; Nakashima, Y.; Huet, K.; Johnson, W.; Joshi, A. P, Sb and Sn Ion Implantation with Laser Melt-LPC (Liquid Phase Crystallization) for High Activation N+ Ultra Shallow Junction in Ge Epilayer and Surface Strain-Ge Formation for Mobility Enhancement. 15th International Workshop on Junction Technology IEEE 2015, 15–18. (9) Jamil, M.; Mantey, J.; Onyegam, E. U.; Carpenter, G. D.; Tutuc, E.; Banerjee, S. K. HighPerformance Ge nMOSFETs With n+-p Junctions Formed by “Spin-On Dopant”. IEEE Electron

Device Lett. 2011, 32, 1203–1205. (10) Maeda, T.; Morita, Y.; Takagi, S. High Electron Mobility Ge n-Channel Metal–Insulator– Semiconductor Field-Effect Transistors Fabricated by the Gate-Last Process with the Solid Source Diffusion Technique. Appl. Phys. Express 2010, 3, 061301.

21 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 26

(11) Kim, W.-K; Kuroda, K.; Takenaka, M.; Takagi, S. Sb-Doped S/D Ultrathin Body Ge-on Insulator nMOSFET Fabricated by Improved Ge Condensation Process. IEEE Trans. Electron. Dev.

2014. 61, 3369-3385. (12) Yu, H. Y.; Cheng, S. L.; Griffin, P. B.; Nishi, Y.; Saraswat, K. C. Germanium In Situ Doped Epitaxial Growth on Si for High-Performance n(+)/p-Junction Diode, IEEE Electron Device Lett.

2009, 30, 1002–1004. (13) Scappucci, G.; Capellini, G.; Lee, W. C. T. ; Simmons, M. Y. Ultradense Phosphorus in Germanium Delta-Doped Layers, Appl. Phys. Lett. 2009, 94, 162106. (14) Scappucci, G.; Capellini, G.; Klesse, W. M.; Simmons, M. Y. Phosphorus Atomic Layer Doping of Germanium by the Stacking of Multiple Delta Layers, Nanotechnology 2011, 22, 375203. (15) Ho, J. C.; Yerushalmi, R.; Jacobson, Z. A.; Fan, Z.; Alley, R. L.; Javey, A. Controlled Nanoscale Doping of Semiconductors via Molecular Monolayers. Nat. Mater. 2008, 7, 62–67. (16) Ang, K. W.; Barnett, J.; Loh, W. Y.; Huang, J.; Min, B. G.; Hung, P. Y.; Ok, I.; Yum, J. H.; Bersuker, G.; Rodgers, M.; Kaushik, V.; Gausepohl, S.; Hobbs, C.; Kirsch, P. D.; Jammy, R. 300mm FinFET Results Utilizing Conformal, Damage Free, Ultra Shallow Junctions (Xj~5nm) Formed with Molecular Monolayer Doping Technique. Electron Devices Meeting (IEDM), IEEE 2011, 35.5.135.5.4. (17) Ho, J. C.; Yerushalmi, R.; Smith, R.; Majhi, G.; Bennett, J.; Halim, J.; Faifer, V. N.; Javey, A. Wafer-scale, sub-5 nm Junction Formation by Monolayer Doping and Conventional Spike Annealing.

Nano Lett. 2009, 9, 725–730. (18) Voorthuijzen, W. P.; Yilmaz, M. D.; Gomez-Casado, A.; Jonkheijm, P.; van der Wiel, W. G.; Huskens, J. Direct Patterning of Covalent Organic Monolayers on Silicon Using Nanoimprint Lithography. Langmuir 2010, 26, 14210-14215. (19) Seitz, O.; Caillard, L.; Nguyen, H. M.; Chiles, C.; Chabal, Y. J.; Malko, A. V. Optimizing Non-Radiative Energy Transfer in Hybrid Colloidal-Nanocrystal/Silicon Structures by Controlled Nanopillar Architectures for Future Photovoltaic Cells. Appl. Phys. Lett. 2012, 100, 021902. (20) Boldrini, V.; Carturan, S. M.; Maggioni, G.; Napolitani, E.; Napoli, D. R ; Camattari, R.; De Salvador, D. Optimal Process Parameters for Phosphorus Spin-On-Doping of Germanium. Appl. Surf.

Sci. 2017, 392, 1173-1180. (21) Shimizu, Y., Takamizawa, H., Inoue, K., Yano, F., Nagai, Y., Lamagna, L., Mazzeo, G.; Perego, M.; Prati, E. Behavior of Phosphorous and Contaminants From Molecular Doping Combined With a Conventional Spike Annealing Method. Nanoscale 2014, 6, 706-710. (22) Puglisi, R. A.; Caccamo, S.; D’Urso, L.; Fisichella, G.; Giannazzo, F.; Italia, M.; La Magna, A. A Comprehensive Study on the Physicochemical and Electrical Properties of Si Doped with the Molecular Doping Method. Phys. Status Solidi A 2015, 212, 1–10.

22 ACS Paragon Plus Environment

Page 23 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(23) Mathey, L.; Alphazan, T.; Valla, M.; Veyre, L.; Fontaine, H.; Enyedi, V.; Yckache, K.; Danielou, M.; Kerdiles, S.; Guerrero, J.; Barnes, J.-P.; Veillerot, M.; Chevalier, N.; Mariolle, D.; Bertin, F.; Durand, C.; Berthe, M.; Dendooven, J.; Martin, F.; Thieuleux, C.; Grandidier, B.; Copéret, C. Functionalization of Silica Nanoparticles and Native Silicon Oxide with Tailored Boron-Molecular Precursors for Efficient and Predictive P-Doping of Silicon. J. Phys. Chem. C 2015, 119, 13750– 13757. (24) Alphazan, T.; Mathey, L.; Schwarzwälder, M.; Lin, T.-H.; Rossini, A. J.; Wischert, R.; Enyedi, V.; Fontaine, H.; Veillerot, M.; Lesage, A.; Emsley, L.; Veyre, L.; Martin, F.; Thieuleux, C.; Copéret, C. Monolayer Doping of Silicon through Grafting a Tailored Molecular Phosphorus Precursor onto Oxide-Passivated Silicon Surfaces. Chem. Mater. 2016, 28, 3634–3640. (25) Ye, L.; de Jong, M. P.; Kudernac, T.; van der Wiel, W. G.; Huskens, J. Doping of Semiconductors by Molecular Monolayers: Monolayer Formation, Dopant Diffusion and Applications. Mater. Sci. Semicond. Process. 2017, 57, 166–172. (26) Lee, Y.-J.; Cho, T.-C.; Sung, P.-J.; Kao, K.-H.; Hsueh, F.-K.; Hou, F.-J.; Chen, P.-C.; Chen, H.-C.; Wu, C.-T.; Hsu, S.-H.; Chen, Y.-J.; Huang, Y.-M.; Hou, Y.-F.; Huang, W.-H.; Yang, C.-C.; Chen, B.-Y.; Lin, K.-L.; Chen, M.-C.; Shen, C.-H.; Huang, G.-W.; Huang, K.-P.; Current, M. I.; Li, Y.; Samukawa, S.; Wu, W.-F.; Shieh, J.-M.; Chao, T.-S.; Yeh, W.-K. High Performance Poly Si Junctionless Transistors with Sub-5nm Conformally Doped Layers by Molecular Monolayer Doping and Microwave Incorporating CO2 Laser Annealing for 3D Stacked ICs Applications. Electron

Devices Meeting (IEDM), IEEE 2015, 6.2.1-6.2.4. (27) O’Connell, J.; Verni, G. A.; Gangnaik, A.; Shayesteh, M.; Long, B.; Georgiev, Y. M.; Petkov, N.; McGlacken, G. P.; Morris, M. A.; Duffy, R.; Holmes, J. D. Organo-Arsenic Molecular Layers on Silicon for High-Density Doping. ACS Appl. Mater. Interfaces 2015, 7, 15514–15521. (28) Longo, R. C.; Mattson, E. C.; Vega, A.; Cabrera, W.; Cho, K.; Chabal, Y. J.; Thissen, P. Mechanism of Arsenic Monolayer Doping of Oxide-Free Si(111). Chem. Mater. 2016, 28, 1975–1979. (29) O’Connell, J.; Collins, G.; McGlacken, G. P.; Duffy, R.; Holmes, J. D. Monolayer Doping of Si with Improved Oxidation Resistance. ACS Appl. Mater. Interfaces 2016, 8, 4101–4108. (30) Kalkofen, B.; Amusan, A. A.; Lisker, M.; Burte, E. P. Application of Atomic Layer Deposited Dopant Sources for Ultra-Shallow Doping of Silicon. Phys. Status Solidi C 2014, 11 (1), 41–45. (31) Alphazan, T.; Florian, P.; Thieuleux, C.; Ethoxy and Silsesquioxane Derivatives of Antimony as Dopant Precursors: Unravelling the Structure and Thermal Stability of Surface Species on SiO2.

Phys. Chem. Chem. Phys. 2017, in press. (32) da Silva, S. R. M.; Rolim, G. K.; Soares, G. V.; Baumvol, I. J. R.; Krug, C.; Miotti, L.; Freire, F. L.; da Costa, M. E. H. M.; Radtke, C. Oxygen Transport and GeO2 Stability during Thermal Oxidation of Ge. Appl. Phys. Lett. 2012, 100 (19), 191907.

23 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 26

(33) Collins, G.; Aureau, D.; Holmes, J. D.; Etcheberry, A.; O’Dwyer, C. Germanium Oxide Removal by Citric Acid and Thiol Passivation from Citric Acid-Terminated Ge(100). Langmuir 2014,

30, 14123–14127. (34) Rivillon, S.; Chabal, Y. J.; Amy, F.; Kahn, A. Hydrogen Passivation of Germanium (100) Surface Using Wet Chemical Preparation. Appl. Phys. Lett. 2005, 87, 253101. (35) Rochat, N.; Olivier, M.; Chabli, A.; Conne, F.; Lefeuvre, G.; Boll-Burdet, C. Multiple Internal Reflection Infrared Spectroscopy Using Two-Prism Coupling Geometry: A Convenient Way for Quantitative Study of Organic Contamination on Silicon Wafers. Appl. Phys. Lett. 2000, 77, 2249. (36) Feher, F. J.; Budzichowski, T. A. Heterosilsesquioxanes: Synthesis and Characterization of Group 15 Containing Polyhedral Oligosilsesquioxanes. Organometallics 1991, 10, 812–815. (37) Corrosion in the Petrochemical Industry, p170; Garverick, L., Ed.; ASM International: Materials Park, OH, 1994. (38) Jiang, X. C.; Chen, C. Y.; Chen, W. M.; Yu, A. B. Role of Citric Acid in the Formation of Silver Nanoplates through a Synergistic Reduction Approach. Langmuir 2010, 26, 4400–4408. (39) Ko, C. K.; Lee, W. G. Effects of pH Variation in Aqueous Solutions on Dissolution of Copper Oxide. Surf. Interface Anal. 2010, 42 (6–7), 1128–1130. (40) Pujari, S. P.; Scheres, L.; Marcelis, A. T. M.; Zuilhof, H. Covalent Surface Modification of Oxide Surfaces. Angew. Chem. Int. Ed. 2014, 53 (25), 6322–6356. (41) Kita, K.; Lee, C. H.; Nishimura, T.; Nagashio, K.; Toriumi, A. Control of Properties of GeO2 Films and Ge/GeO2 Interfaces by the Suppression of GeO Volatilization; ECS, 2009; pp 101–116. (42) Choi, J.-S.; Yang, B. S.; Won, S.-J.; Kim, J.-R.; Suh, S.; Park, H.-K.; Heo, J.; Kim, H. J. Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma. ECS Solid State Lett. 2013, 2,114-116. (43) Sawano, K.; Hoshi, Y.; Kasahara, K.; Yamane, K.; Hamaya, K.; Miyao, M.; Shiraki, Y. Ultrashallow Ohmic contacts for n-type Ge by Sb δ-doping. Appl. Phys. Lett., 2010, 97, 162108. (44) Chroneos, A.; Bracht, H. Diffusion of n-type Dopants in Germanium. Appl. Phys. Rev. 2014,

1, 011301. (45) Simoen, E. ; Satta, A. ; D’Amore, A. ; Janssens, T. ; Clarysse, T. ; Martens, K.; De Jaeger, B. ; Benedetti, A.; Hoflijk, I. ; Brijs, B.; Meuris, M. Ion-Implantation Issues in the Formation of Shallow Junctions in Germanium. Mater. Sci. Semicond. Process. 2006, 9, 634-639. (46) Dowsett, M. G.; Barlow, R. D.; Fox, H. S.; Kubiak, R. A. A.; Collins, R. Secondary Ion Mass Spectrometry Depth Profiling of Boron, Antimony, and Germanium Deltas in Silicon and Implications for Profile Deconvolution. J. Vac. Sci. Technol. B 1992, 10, 336-341. (47) Zalm, P. C. Ultra Shallow Doping Profiling with SIMS, Rep. Prog. Phys. 1995, 58, 13211374.

24 ACS Paragon Plus Environment

Page 25 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(48) Spitzer, W. G.; Trumbore, F. A.; Logan, R. A. Properties of Heavily Doped n‐Type Germanium. J. Appl. Phys. 1961, 32, 1822-1830. (49) Shiraki, I.; Tanabe, F.; Hobara, R.; Nagao, T.; Hasegawa, S. Independently Driven Four-Tip Probes for Conductivity Measurements in Ultrahigh Vacuum. Surf. Sci. 2001, 493, 633-643. (50) Hasegawa, S.; Shiraki, I.; Tanabe, F.; Hobara, R.; Kanagawa, T.; Tanikawa, T.; Matsuda, I.; Petersen C. L.; Hansen, T. M.; Boggild, P.; Grey, F. Electrical Conduction Through Surface Superstructures Measured by Microscopic Four-Point Probes. Surf. Rev. Lett. 2003, 10, 963-980. (51) Wojtaszek, M.; Zuzak, R.; Godlewski, S.; Kolmer, M.; Lis, J.; Such, B.; Szymonski, M. Fermi Level Pinning at the Ge (001) Surface - A Case for Non-Standard Explanation. J. Appl. Phys. 2015,

118, 185703. (52) Satta, A.; Simoen, E.; Duffy, R.; Janssens, T.; Clarysse, T.; Benedetti, A.; Meuris, M.; Vandervorst, W. Diffusion, Activation, and Regrowth Behavior of High Dose P Implants in Ge. Appl.

Phys. Lett. 2006, 88, 162118. (53) Schubert, E.F.; Cunningham, J.E.; Tsang, W.T.; Chiu, T.H. Delta-Doped Ohmic Contacts to nGaAs. Appl. Phys. Lett. 1986, 49, 292-294. (54) Shahkin, V.I.; Murel, A.V.; Daniltsev, V.M.; Khrykin, O.I. Control of Charge Transport Mode in the Schottky Barrier by δ-Doping: Calculation and Experiments for Al/GaAs. Semiconductors 2002,

36, 505-510. (55) Levinstein, M.; Rumyantsev, S.; Shur, M. Handbook Series on Semiconductor Parameters" vol. 1,2 ed. by World Scientific, London, 1996. (56) Dingemans, G.; Kessels, W. M . M., Status and Prospects of Al2O3-Based Surface Passivation Schemes for Silicon Solar Cells. J. Vac. Sci. Technol. 2012, 30, 040802.

25 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 26

TABLE OF CONTENTS GRAPHIC

26 ACS Paragon Plus Environment