Straightforward Integration Flow of a Silicon-Containing Block

at 180 °C—were successfully integrated using graphoepitaxy to provide a long-range ordering of the BCP structure without the use of underlayers...
0 downloads 0 Views 5MB Size
Research Article www.acsami.org

Cite This: ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Straightforward Integration Flow of a Silicon-Containing Block Copolymer for Line−Space Patterning Antoine Legrain,*,† Guillaume Fleury,‡ Muhammad Mumtaz,‡ Christophe Navarro,§ Javier Arias-Zapata,† Xavier Chevalier,§ Ian Cayrefourcq,§ and Marc Zelsmann† †

Laboratoire des Technologies de la MicroélectroniqueUniversité Grenoble Alpes/CNRS, LTMCEA-LETI-MINATEC Campus, F-38000 Grenoble, France ‡ Laboratoire de Chimie des Polymères Organiques, CNRSENSCPBUniversité de BordeauxUMR 5629, F-33607 Pessac, France § ARKEMA FranceGroupement de recherches de LacqRN 117, BP34-64170 Lacq, France S Supporting Information *

ABSTRACT: A promising alternative for the next-generation lithography is based on the directed self-assembly of block copolymers (BCPs) used as a bottom-up tool for the definition of nanometric features. Herein, a straightforward integration flow for line-space patterning is reported for a silicon BCP system, that is, poly(1,1-dimethylsilacyclobutane)-b-poly(styrene) (PDMSB-b-PS), able to define sub 15 nm features. Both in-plane cylindrical (L0 = 20.7 nm) and out-of-plane lamellar structures (L0 = 23.2 nm) formed through a rapid thermal annealing10 min at 180 °Cwere successfully integrated using graphoepitaxy to provide a long-range ordering of the BCP structure without the use of underlayers or top coats. Subsequent deep transfer into the silicon substrate using the hardened oxidized PDMSB domains as a mask is demonstrated. Combining a rapid self-assembly behavior, straightforward integration, and an excellent etching contrast, PDMSB-b-PS may become a material of choice for the next-generation lithography. KEYWORDS: block copolymer, directed self-assembly, out-of-plane orientation, graphoepitaxy, thermal annealing, nanolithography

1. INTRODUCTION

Block copolymers (BCPs) associated with directed selfassembly (DSA) methodologies are promising candidates for the next-generation nanolithography.5,6 The BCP self-assembly is used to define well-controlled features at the nanometer scale via the microphase separation between their components. The critical dimension (CD) of features formed through the BCP microphase separation process is a function of the product χN, where χ is the Flory−Huggins parameter of the BCP, which measures the incompatibility between the blocks, and N is the total degree of polymerization of the BCP chain.7 When using BCP systems designed as “high-χ low-N”, sub 10 nm features can be produced.8,9 BCP nanolithography is achieved through

The evolution of the microelectronics industry is driven by the fabrication of ever smaller components as the device performance is related to the size of the basic units. Therefore, the microelectronics industry has been pushed to follow the wellknown Moores law,1 using photolithography as the preferred technology for the definition of patterns at the nanometer scale. Currently, the standard lithography technique is immersion lithography, whose ability to form features down to 22 nm when coupled to double patterning has been demonstrated.2 However, the immersion lithography technique is not able to go beyond these dimensions without including extra technological steps (quadro and beyond patternings) which increase the cost and reduce the pattern’s quality, for example, increasing roughness and pitch walking.3,4 © XXXX American Chemical Society

Received: August 18, 2017 Accepted: November 17, 2017

A

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

2. RESULTS AND DISCUSSION PDMSB-b-PS BCPs were obtained via anionic polymerization by the sequential addition of styrene and 1,1-dimethylsilacyclobutane in a tetrahydrofuran (THF)/heptane mixture at −50 °C using sec-BuLi as an initiator.40 The macromolecular characterization of the PDMSB-b-PS was performed by using a combination of size-exclusion chromatography performed using the universal calibration technique for the determination of the molecular weight and 1H NMR spectroscopy for the BCP composition (see Table S1). A Flory−Huggins interaction parameter χ = 0.07 was estimated for this particular system.41 This value of χ is roughly twice as much as that of PS-bPMMA,42,43 the current leading candidate for nanolithography applications of BCPs. PDMSB is a silicon (Si)-containing semicrystalline polymer with a negative glass transition temperature (Tg = −55 °C).44 It has already been used in combination with poly(methyl methacrylate) to produce sub 10 nm structures,45,46 but the successful integration in a nanolithographic process flow with oxidized PDMSB as the masking material is yet to be presented. The small-angle X-ray scattering (SAXS) characterization of the studied BCPs is shown in Figure 2, whereas their

the use of DSA methodologies (chemoepitaxy or graphoepitaxy) to control the orientational and positional order of the BCP domains while promoting a long-range ordering of the features. The selective removal of one of the BCP domains leads to an etching mask, subsequently used to transfer the BCP pattern to the underlying substrate.10−14 A suitable BCP material for nanolithography targeting sub 20 nm features should therefore have a high-χ parameter as well as a good etching contrast between the two blocks. Moreover, such a product would be worth considering for future industrial use if it allows a short processing time (a few minutes or less), avoids implementation of new equipment, and does not require the use of hazardous products. Few existing BCP systems have all of these characteristics. First, the controlled orientation of high-χ materials is notoriously difficult because of the large mismatch of the surface energies of the two blocks.15 Strategies such as the use of neutral underlayers12,16−20 and/or top coats21−23 are currently being developed to circumvent this issue. Nevertheless, such developments are often tedious and imply extra processing steps for the integration of BCP through DSA methodologies. Alternatively, by confining the BCP in predefined templates, it is possible to take advantage of the affinity of the sidewalls toward one of the blocks to drive the formation of vertical features.24−26 Second, the etching contrast between two blocks of a BCP is usually low, and additional processing steps such as sequential infiltration synthesis (SIS)20,27−30 are required to enhance the quality of the mask. Finally, solvent annealing31−34 or alternative annealing strategies35−38 are often necessary to obtain “defectless” structures, which would require new fabrication tracks in factories. Short thermal annealing39 is better suited for an industrial use of BCPs for nanolithography because it only requires a hotplate, a standard piece of equipment in microelectronics. In the research presented in this paper, we developed a straightforward integration pathway for a newly developed BCP material: poly(1,1-dimethylsilacyclobutane)-b-poly(styrene) (PDMSB-b-PS) as depicted in Figure 1. The integration of

Figure 2. Synchrotron SAXS profiles acquired at 140 °C of the bulk synthesized PDMSB-b-PS BCPs used in this study. Hex phases (q/q* = 1, 3 , 2, 7 , and 9) identified with PDMSB78-b-PS130. Lam phases (q/q* = 1, 2, 3, 4, and 5) identified with PDMSB82-b-PS78.

macromolecular characteristics are available in Table S1 of the Supporting Information. The SAXS pattern of the bulk PDMSB82-b-PS78 sample presents a first-order peak, q*, at 0.27 nm−1 and higher-order peaks located at q/q* = 1, 2, 3, 4, and 5, consistent with a lamellar phase having a period, L0, of 23.2 nm. The SAXS pattern of PDMSB78-b-PS130 is assigned to a hexagonal-close-packed cylindrical structure with a cylinder-tocylinder distance, L0, of 20.7 nm as attested by a series of peaks located at q/q* = 1, 3 , 2, 7 , and 9 (q* = 0.35 nm−1). Such morphological assignments are in accordance with the BCP compositions determined by 1H NMR (see Table S1). The solutions of PDMSB-b-PS used throughout this study were obtained using n-butyl acetate as a solvent. n-Butyl acetate is a “friendly” solvent, which is allowed in industrial fabrication tracks (limited health and environment hazards) unlike other solvents, such as THF or toluene. 2.1. Integration of a Cylindrical PDMSB-b-PS in Shallow Trenches. Because of its simplicity, thermal annealing is the preferred candidate for the potential use of BCP nanolithography in an industrial environment. Figure 3a

Figure 1. Macromolecular structure of the PDMSB-b-PS BCPs employed (m and n denote the degree of polymerization of the PDMSB and PS blocks, respectively).

both cylindrical and lamellar architectures of this system using a graphoepitaxy flow to guide the structures is presented. Our results show that the PDMSB-b-PS system favorably responds to the aforementioned requirements. In particular, the chosen substrate presents a surface energy very close to that of one of the blocks to drive the formation of vertical lamellae in high aspect ratio templates without the need of underlayers or top coats. B

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Figure 3. Cylindrical PDMSB-b-PS integrated in a graphoepitaxy flow. The BCP was spun in shallow silicon trenches (20 nm deep) covered by a PS brush and annealed at 180 °C for 10 min. (a) Top view of the assembly after the removal of the PS block using oxygen plasma. Cylinders show a good alignment; thanks to the walls. (b) Tilted cross-sectional view after transfer of the cylinders into the silicon substrate. Lines (35 nm deep) are obtained using only the oxidized PDMSB cylinders as a mask. Details of the process are available in the Supporting Information.

Figure 4. Lamellar PDMSB-b-PS integrated in graphoepitaxy flow using high aspect ratio (120 nm/80 nm = 1.5) templates. (a) STEM image of a lamellar PDMSB-b-PS of period 23 nm as-spun in the templates. Lamellae are vertical over approximately half of the height before turning horizontal. There is no wetting layer at the bottom of the trenches. PDMSB domains appear darker than PS domains. (b) Evolution of the morphology after an annealing of 1 min at 180 °C. The height of the lamellae increased. Circular-shaped lamellae as well as a PS wetting layer below them can be observed in some of the templates. (c,d) After 10 min at 180 °C, tall vertical lamellae are formed in all templates without a wetting layer at the bottom interface. On top of them, horizontal lamellae are formed because of the influence of the top air−polymer interface.

Supporting Information. Briefly, after removing the superficial PDMSB layer at the surface by a CF4 plasma, an oxygen plasma treatment is carried out to remove the PS domains with a concurrent oxidation of PDMSB cylinders. The resulting mask shows a high etching resistance to subsequent plasma stepsa short breakthrough step to remove SiO2 at the surface and transfer into Siand was used to etch 35 nm deep lines into an underlying Si substrate. Interestingly, no subsequent treatments (such as SIS20,27,28,30 or inclusion29,47), commonly used to improve the etching contrast of such small BCP features, were necessary to guarantee an appropriate etching selectivity for the transfer of the BCP patterns. Therefore, the cylindrical PDMSB-b-PS system has a good etching performance with straightforward technological steps: polymer spinning using a

shows a scanning electron microscopy (SEM) image of a PDMSB-b-PS thin film self-assembled in shallow silicon trenches. A well-developed cylindrical morphology (PDMSB cylinders in a PS matrix) with a periodicity of 20.7 nm has been obtained after 10 min of thermal annealing, whereas the inplane orientation of the PDMSB cylinders was ensured by the use of a PS wetting surface. The nonoptimal commensurability between the BCP period (20.7 nm) and the width of the trenches (150 nm) is probably responsible for the few defects observed on the SEM image. Higher temperatures of annealingup to 240 °Cwere also tested, without a significant improvement in the quality of the self-assembly. The etching strategy for a cylindrical PDMSB-b-PS system is similar to that developed for PS-b-PDMS11 and is further described in the Methods section and in Figure S1 of the C

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces “friendly” solvent, short thermal annealing time at mild temperature, and standard plasma etching. 2.2. Integration of a Lamellar PDMSB-b-PS in High Aspect Ratio Templates. Lamellar BCPs have several advantages for nanolithography. Indeed, the integration of out-of-plane lamellae induces a more controllable placement of the BCP features, whereas a higher etching budget is also obtained with regard to cylindrical BCPs owing to a higher aspect ratio of the BCP features. The latter results in better defined sidewalls, which results in improved characteristics of the final etched patterns. Consequently, the lamellar BCP systems are often regarded as the preferred systems for the definition of lines and spaces through BCP nanolithography. Here, we demonstrate the integration of a lamellar PDMSB-b-PS in high aspect ratio templates as illustrated in Figure 4. The fabrication flow of templates is outlined in the Supporting Information (Figure S2). Importantly, the pattern walls are made of spin on carbon (SOC), a widely used material in microelectronics as a part of the standard material stack for 193 nm lithography, therefore already available in industrial facilities. We measured the surface energy of SOC as well as those of PS and PDMSB to predict the wettability of the BCP with the pattern. Our results are listed in Table 1: the theory predicts that PDMSB domains

have a higher wettability than PS domains with SOC patterns. Moreover, the width of the patterns (80 nm) was chosen to be commensurable with the period of the lamellar system, with a period of 23 nm (see Figure 2). The 3.5 periods or the lamellar system fits in the pattern with PDMSB domains, wetting the SOC on both sides. Scanning transmission electron microscopy (STEM) was used to study the assembly of the lamellae inside these patterns, and a representative image of the as-cast morphology is presented in Figure 4a. Interestingly, the BCP is already segregated into vertical lamellae over approximately half of the height of trenches. Most probably, the evaporation of the solvent and the asymmetric affinity of the patterns toward the BCP drive the formation of vertical features during the spinning of the polymer. Above the vertical features, several layers of horizontal lamellae are formed because PDMSB and PS exhibit drastically different surface energies (Table 1). Therefore, the PDMSB block tends to segregate at the air−polymer interface, inducing a parallel orientation. Moreover, there is no wetting layer at the bottom of the patterns presented in Figure 4a. This result indicates that the attraction of the PDMSB domains by the SOC is sufficiently large to yield the formation of vertical lamellae and to overcome the enthalpy penalty related to the wetting of both blocks at the silicon dioxide interface. We studied the kinetics of self-assembly with STEM images at different times. After 1 min of thermal annealing, the morphology developed toward taller lamellae, as shown in image (b), even if an “onion” morphology is observed in most of the patterns. Such morphology is a consequence of a PS wetting layer at the bottom surface. This new wetting layer may be due to a change of surface energies because of the applied temperature and an unfavorable top surface that prevents the vertical lamellae from growing large enough to overcome this change. Nevertheless, after 10 min of annealing, highly organized lamellae are obtained, as shown in Figure 4c,d. All patterns are filled with 100 nm tall lamellae covered by a few

Table 1. Surface Energy Measurementsa material

γi (mN/m)

γd (mN/m)

γp (mN/m)

γSOC/i (mN/m)

SOC PS PDMSB

48.7 43.5 27.3

29.1 42.1 25.1

19.5 1.4 2.2

0 18.2 14.1

γd and γp are the dispersive and the polar parts of the surface energy γi, respectively. The surface energy between SOC and the two blocks of PDMSB-b-PS systems is estimated using the equation derived by Wu48 (harmonic means of the interfacial interactions). The smaller the surface energy between two materials, the higher is the wettability. a

Figure 5. (a) XPS data for different durations of UV treatment. Carbon (C) elements are gradually replaced by oxygen (O). (b) C 1s spectrum obtained by fitting the XPS data. C−Si chemical bonds have been replaced mostly by Si−Ox chemical bonds after 70 s of UV irradiation. (c) Si spectrum. The relative quantity of Si is increasing and after 70 s of UV, chemical bonds are mainly of Si−Ox types. (d) Evolution of Young’s modulus. The UV treatment makes PDMSB elastomeric and gradually hardens it. D

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Therefore, the mechanical properties of both PS and PDMSB are improved through VUV treatment. The strategy to transfer the PDMSB-b-PS lamellae into the Si substrate is presented in Figure 6. The VUV treatment

periods of horizontal lamellae. The close-up view of image (d) shows that the bottoms of the patterns are free of any wetting layers and that SOC lines are wetted by PDMSB domains, as predicted by the wettability theory (Table 1). Note that a complete filling of the pattern is necessary to obtain vertical lamellae. When half-filled, a formation of the in-plane lamellae only is observed as depicted in Figure S3 of the Supporting Information. Consequently, a minimal BCP thickness in the templates is required to overcome the enthalpic penalty inherent to the wetting of both domains at the bottom of the templates. Moreover, higher annealing temperatures (200 and 250 °C) were tested for the short annealing time (1 min) without significant improvements (Figure S4 in the Supporting Information). It is worth mentioning that intermediate times between 1 and 10 min were not tried. There exists a possibility that annealing time may be improved even further. Obtaining the vertical lamellae of high-χ BCP is challenging because of a significant surface-energy mismatch between the two blocks, which usually yields the formation of horizontal lamellae and/or wetting layers at all interfaces. Here, we have shown that we could vertically orient the PDMSB-b-PS lamellae in a minimum of processing steps. There are only a few examples of vertical standing high-χ lamellar BCP system in graphoepitaxy in the literature. Vertical PS-b-PLA lamellae were obtained through thermal annealing under nanoconfinements in patterns obtained via extreme ultraviolet lithography49 and by solvothermal annealing on free surfaces.50 However, these processes are significantly more intricate than that presented here, and no transfer into the substrate was demonstrated in either case. Ross et al.24 obtained perpendicular domains in high aspect ratio templates, whose results were similar to ours, by using PS-b-PDMS with a platinum layer at the bottom of their patterns to balance the surface energies between the BCP domains. Nevertheless, such a metal layer is detrimental to subsequent etching and transfer into the substrate. By contrast, the PDMSB-b-PS lamellae are in direct contact with the substrate, and the transfer of the BCP mask can thus be envisaged. 2.3. Nanolithography with Lamellar PDMSB-b-PS. We used a vacuum UV (VUV) irradiation (172 nm wavelength) in the presence of oxygen to improve the mechanical stability of PDMSB-b-PS lamellae during the transfer steps. The UV treatment is known to enhance the thermal and mechanical stability of PS through cross-linking, even if overexposure leads to the material degradation through photo-oxidation and chain scission.51−54 To our knowledge, the effect of VUV irradiation on PDMSB has never been studied. Some insights into the change of the homopolymer during irradiation are provided in Figure 5. The X-ray photoelectron spectrometry (XPS) data shown in Figure 5a highlight that carbon atoms are replaced by oxygen atoms during VUV irradiation, gradually forming Si−Ox bonds (Figure 5b,c). Carbon atoms, initially accounting for 83% of the PDMSB structure, only account for 25% after 75 s of irradiation, and for an even lower 20% after a 105 s irradiation (plot (b)). Consequently, the VUV treatment results in a rubbery material (Young’s modulus becomes measurable, whereas PDMSB is liquid-like before treatment, as shown in plot (d)) which becomes harder with longer UV irradiation, suggesting cross-linking of the material. In conclusion, the VUV irradiation of PDMSB seems to cross-link the polymer, making it elastomeric and harder, through the replacement of carbon atoms by oxygen atoms.

Figure 6. Dry-etching process of vertical lamellae shown in Figure 4c,d. The details of the steps are given in the Supporting Information (Table S3). (a) Initial step with vertical lamellae covered by horizontal lamellae. (b) Nonselective plasma etching. PS domains are not screened anymore and can be removed. (c) PS domains are etched away using oxygen plasma. PDMSB is oxidized, and SOC is etched away at the same time. This step yields a thin silicon dioxide (SiO2) layer on top of the substrate. (d) Breakthrough step to remove SiO2. (e) Etching into the substrate using oxidized PDMSB lamellae as a mask.

successfully improved the etching selectivity, and the mechanical integrity of the BCP mask as preliminary experiments, performed without the VUV step, has demonstrated the loss of integrity of the BCP mask with the formation of tunnels (see Figure S5). We hypothesize that in the absence of the VUV treatment, PS domains are too fragile to withstand the high-energy plasma step and are etched away much faster than the concurrent PDMSB block oxidation process. A short treatment of 15 s of VUV yielded similar tunnels, indicating also that a sufficient hardening of the polymers is necessary. After a longer (75 s) VUV treatment, a smooth BCP surface is retrieved as shown in Figure 7a, and a nonselective plasma step (a mix of CF4 and O2 gases with adequate bias power) was used for the trimming of the in-plane PDMSB-b-PS lamellae observed in Figure 7b. A subsequent short oxygen plasma step yielded smooth regular lamellae on the substrate, as depicted in images (c,d). Leaving a thicker layer of polymer in step (b) would yield higher standing lamellae. However, we noticed that tall lamellae tend to collapse. We found 15 nm tall lamellae to be the adequate height for mechanical stability. The line-edge roughness (LER) of these lamellae was evaluated using top-view SEM images to be 2.8 nm (0.12L0), which favorably compares to other systems without further optimization of the process.55 After a breakthrough step to remove the SiO2 native layer on Si, a deep transfer into the substrate was successfully carried out, as shown in image (e). Such a deep etch into the substrate demonstrates the hardness of the oxidized PDMSB mask. The complete parameters of our etching recipes can be found in the Methods section and in Table S2 of the Supporting Information. A complete integration of the lamellar PDMSB-b-PS therefore only requires a spinner, a hotplate, a UV lamp, and a plasma etcher, all of which are already available in industrial microelectronics facilities. E

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Figure 7. Etching results when using UV to improve the stability of the BCP. (a) Tilted SEM cross section of the initial situation (Figure 6a) after a 75 s deep UV irradiation. Templates are entirely filled with the polymer. (b) Same view after plasma trimming, corresponding to step (b) in Figure 6. (c) Top view of lamellae after removing PS domains, corresponding to Figure 6c. Lamellae show good alignments and few defects. (d) Tilted crosssectional views of the same lamellae. They are approximately 15 nm tall. (e) Same view after Si etching. Eighty nanometers was etched into the substrate. finally pure O2 for 30 s. Then, the reactor walls were coated with a thin SiOxCly layer using SiCl4−O2 plasma. Finally, the carrier wafer was etched using the process described below and in the Results and Discussion section. The process flow schematic of the cylindrical PDMSB-b-PS etching can be found in the Supporting Information (Figure S1). A short CF4 (gas flow: 150 sscm, power: 400 W source and 40 W bias, and pressure: 10 mTorr) step was first carried out to remove the superficial layer of PDMSB at the surface before removing PS using oxygen plasma (80 sscm, 600 W source and 40 W bias, and 30 mTorr). The latter yielded a thin SiO2 layer at the bottom of the patterns, which was removed with Cl2 (200 sscm, 400 W source and 150 W bias, and 20 mTorr). Finally, Si was etched with a dedicated pulsed HBr/O2 plasma step (240 and 20 sscm, 300 W source and 150 W bias, 20 mTorr, 1 kHz, and 20% on time). Details on plasma characteristics are summarized in Table S2 of the Supporting Information. The first nonselective step for lamellae PDMSB-b-PS etching was carried out in a mixture of CF4 and O2 gases (150 and 150 sscm, 400 W source and 150 W bias, and 40 mTorr). PS was then removed in O2 diluted in Ar (80 and 80 sscm, 400 W source and 50 W bias, and 30 mTorr). The remaining breakthrough and transfer steps were the same as the recipes used for etching cylinders. Details on plasma characteristics concerning lamellae are summarized in Table S3 of the Supporting Information. 4.4. SEM and STEM Observations. SEM observations were carried out using a Hitachi S-5500 microscope. Typically used parameters were 15 kV electron acceleration with a 10 μA current. The sample preparations and STEM observations were carried out in a Helios 450S apparatus. A 100 nm thick layer of platinum (Pt) was deposited beforehand by evaporation (PLASSYS system) on the samples to limit the deterioration of the BCP. An extra 1 μm layer of Pt was then deposited inside the STEM using a high-energy ion beam. After a careful alignment perpendicular to the patterns, a small sample was extracted and thinned to approximately 100 nm. An in situ observation was finally carried out using the STEM detector. 4.5. LER Measurement. CD SEM images were taken on a Hitachi H-9300 microscope. The images were then treated using ImageJ: the contrast was enhanced and the two lamellae close to the patterns were removed to dissociate the impact of graphoepitaxy trenches from the lamellar assembly. Finally, the LER was measured using dedicated software, commercialized by Pollen Metrology. 4.6. VUV Exposure after DSA. The samples were placed approximately 15 cm downstream from a UV unit from the company SCREEN SPE. The UV wavelength was 172 nm with a power of 30 mW cm−2. The system used could expose a square surface of 100 cm2. The exposure chamber was evacuated for 10 min before processing. However, there was no readout system to get the value of the pressure. 4.7. X-Ray Photoelectron Spectrometry. The chemical quality of PDMSB-b-PS was studied by XPS from Thermo Scientific. XPS was

3. CONCLUSIONS The efficient organization of PDMSB-b-PS chains by a short thermal annealing step allows a straightforward nanolithographic integration using graphoepitaxy to obtain well-defined features. The use of the oxidized PDMSB domains as a mask for patterning the underlying substrate using both cylindrical and lamellar morphologies was successfully demonstrated. The out-of-plane lamellae were obtained, thanks to the high aspect ratio patterns, without the use of underlayers or top coats, through thermal treatment. A VUV treatment that improves the mechanical stability of the lamellar features was necessary for a successful integration, leading to deep (80 nm) regular lines etched into silicon. We believe that PDMSB-b-PS BCPs are promising candidates for the next-generation BCP-based nanolithography; thanks to their scalable synthesis in industrial facilities, their rapid self-assembly behavior coupled to straightforward integration into microelectronic environments, and the excellent etching contrast between the two domains. 4. METHODS 4.1. Substrate Preparation. The fabrication flow of the used substrate is shown in Figure S2 of the Supporting Information. A standard 193 nm lithography stack of the material consisting of SOC covered by a silicon-containing antireflective coating (SiARC) was used on 300 mm wafers. SiARC was opened using a combination of CHF4 and Ar gases, and the SOC was etched using a combination of HBr, O2, and N2 gases. The wafers contained hundreds of small chips (approximately 1 cm2 in size). These individual chips were then cut and used for DSA experiments. 4.2. PDMSB-b-PS Solutions. The brush preparation for DSA experiments with the cylindrical BCP was as follows: the hydroxylterminated PS (Arkema3k) was dissolved in 1 wt % propylene glycol methyl ether acetate (PGMEA), spin-coated at 1500 rpm/s, and thermally activated on a hotplate for 10 min at 230 °C before washing in PGMEA. Cylindrical PDMSB-b-PS was dissolved in 0.5 wt % nbutyl acetate and spun at 1000 rpm/s. Lamellar PDMSB-b-PS was dissolved in 3 wt % n-butyl acetate and spun at 2500 rpm/s. 4.3. Plasma Etching. The etching experiments were performed in a 300 mm AdvantEdge inductively coupled plasma (ICP) etch tool from applied materials. The chamber walls of the ICP reactor are made of yttrium oxide. The plasma is excited inductively via two radiofrequency coils (13.56 MHz) with a power supply operating up to 3 kW to improve the ion flux uniformity. Samples were patched on 300 mm SiO2 wafers. To allow good process reproducibility, the chamber was first cleaned in three steps with plasma to attain “clean” reactor wall conditions: NF3/Cl2 for 45 s, Cl2/O2/Ar for 45 s, and F

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces carried out at a base pressure of 1 × 10−9 mbar with a customized Thermo Electron Theta 300 spectrometer using a monochromatic Xray source Al Kα (1486.6 eV) coupled with an electron flood gun to reduce the surface charging during acquisition. The emitted photoelectrons were collected using an electrostatic lens with 60° angular acceptance. The overall energy resolution of the analysis is 1 eV. 4.8. Young’s Modulus Measurement (Atomic Force Microscopy). Young’s modulus of polymer samples of (100 ± 20) nm thicknesses was measured by atomic force microscopy (AFM) nanoindentation. A triangular silicon nitride tip (Brucker, MLCT) of (13 ± 5) μm width and 0.155 N m−1 spring constant was used to obtain 100 indentation curves in a 100 × 100 μm2 region (contact mode, force mapping, indentation speed: 2 μm s−1) using an AFM JPK NanoWizard II device. The indentation curves were analyzed using JPK Data Processing software. Every indentation curve was fitted by the Hertz−Sneddon model on 15 nm of indentation range, assuming a Poisson ratio of 0.5. 4.9. Measurements of the Surface Energies. The surface energies were measured using the method of Owens, Wendt, Rabel, and Kaelble (OWRK). The surfaces were prepared by grafting the polymers on Si substrates by high-temperature treatment (10 min at 230 °C) and subsequent rinsing in PGMEA. The contact angles of three liquids with the grafted polymers (water, glycerol, and diiodomethane) were measured at clean room temperature using a DSA100 machine from Kruss. Three measurements for each liquid were carried out, and the average was used for fitting the model. The corresponding surface energies were directly calculated by the equipment’s software.



(2) Tang, X.; Cho, M. Optimal Layout Decomposition for Double Patterning Technology. IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2011; pp 9−13. (3) Chao, R.; Kohli, K. K.; Zhang, Y.; Madan, A.; Muthinti, G. R.; Hong, A. J.; Conklin, D.; Holt, J.; Bailey, T. C. Multitechnique Metrology Methods for Evaluating Pitch Walking in 14 nm and Beyond FinFETs. J. Micro/Nanolithogr., MEMS, MOEMS 2014, 13, 041411. (4) Pan, D. Z.; Liebmann, L.; Yu, B.; Xu, X.; Lin, Y. Pushing Multiple Patterning in Sub-10Nm: Are We Ready? Proceedings of the 52nd Annual Design Automation Conference, 2015; pp 197:1−197:6. (5) Hu, H.; Gopinadhan, M.; Osuji, C. O. Directed Self-assembly of Block Copolymers: a Tutorial Review of Strategies for Enabling Nanotechnology with Soft Matter. Soft Matter 2014, 10, 3867−3889. (6) Jeong, S.-J.; Kim, S. O. Nanowire Random Networks. Mater. Today 2014, 17, 412−413. (7) Bates, F. S. Polymer-Polymer Phase Behavior. Science 1991, 251, 898−905. (8) Sinturel, C.; Bates, F. S.; Hillmyer, M. A. High χ−Low N Block Polymers: How Far Can We Go? ACS Macro Lett. 2015, 4, 1044− 1050. (9) Carter, M. C. D.; Jennings, J.; Speetjens, F. W.; Lynn, D. M.; Mahanthappa, M. K. A Reactive Platform Approach for the Rapid Synthesis and Discovery of High χ/Low N Block Polymers. Macromolecules 2016, 49, 6268−6276. (10) Bates, C. M.; Maher, M. J.; Janes, D. W.; Ellison, C. J.; Willson, C. G. Block Copolymer Lithography. Macromolecules 2014, 47, 2−12. (11) Girardot, C.; Böhme, S.; Archambault, S.; Salaün, M.; LatuRomain, E.; Cunge, G.; Joubert, O.; Zelsmann, M. Pulsed Transfer Etching of PS−PDMS Block Copolymers Self-Assembled in 193 nm Lithography Stacks. ACS Appl. Mater. Interfaces 2014, 6, 16276− 16282. (12) Ji, S.; Wan, L.; Liu, C.-C.; Nealey, P. F. Directed Self-assembly of Block Copolymers on Chemical Patterns: A Platform for Nanofabrication. Prog. Polym. Sci. 2016, 54−55, 76−127. (13) Maher, M. J.; Mori, K.; Sirard, S. M.; Dinhobl, A. M.; Bates, C. M.; Gurer, E.; Blachut, G.; Lane, A. P.; Durand, W. J.; Carlson, M. C.; Strahan, J. R.; Ellison, C. J.; Willson, C. G. Pattern Transfer of Sub-10 nm Features via Tin-Containing Block Copolymers. ACS Macro Lett. 2016, 5, 391−395. (14) Garnier, J.; Arias-Zapata, J.; Marconot, O.; Arnaud, S.; Böhme, S.; Girardot, C.; Buttard, D.; Zelsmann, M. Sub-10 nm Silicon Nanopillar Fabrication Using Fast and Brushless Thermal Assembly of PS-b-PDMS Diblock Copolymer. ACS Appl. Mater. Interfaces 2016, 8, 9954−9960. (15) Kim, S.; Wang, H. S.; Choe, Y.; Choi, S.-H.; Bang, J. Controlling the Microdomain Orientation in Block Copolymer Thin Films via Cross-linkable Random Copolymer Neutral Layer. Polym. J. 2016, 48, 333−340. (16) Mansky, P.; Russell, T. P.; Hawker, C. J.; Pitsikalis, M.; Mays, J. Ordered Diblock Copolymer Films on Random Copolymer Brushes. Macromolecules 1997, 30, 6810−6813. (17) Keen, I.; Yu, A.; Cheng, H.-H.; Jack, K. S.; Nicholson, T. M.; Whittaker, A. K.; Blakey, I. Control of the Orientation of Symmetric Poly(styrene)-block-poly(d,l-lactide) Block Copolymers Using Statistical Copolymers of Dissimilar Composition. Langmuir 2012, 28, 15876−15888. (18) Durand, W. J.; Blachut, G.; Maher, M. J.; Sirard, S.; Tein, S.; Carlson, M. C.; Asano, Y.; Zhou, S. X.; Lane, A. P.; Bates, C. M.; Ellison, C. J.; Willson, C. G. Design of High-χ Block Copolymers for Lithography. J. Polym. Sci., Part A: Polym. Chem. 2015, 53, 344−352. (19) Vora, A.; Schmidt, K.; Alva, G.; Arellano, N.; Magbitang, T.; Chunder, A.; Thompson, L. E.; Lofano, E.; Pitera, J. W.; Cheng, J. Y.; Sanders, D. P. Orientation Control of Block Copolymers Using Surface Active, Phase-Preferential Additives. ACS Appl. Mater. Interfaces 2016, 8, 29808−29817. (20) Yang, G.-W.; Wu, G.-P.; Chen, X.; Xiong, S.; Arges, C. G.; Ji, S.; Nealey, P. F.; Lu, X.-B.; Darensbourg, D. J.; Xu, Z.-K. Directed Self-

ASSOCIATED CONTENT

S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.7b12217. Macromolecular characteristics of used BCPs, detailed process flow of cylindrical BCP etching, fabrication flow of high aspect ratio templates, and SEM and STEM images of failed processes and details on used plasma recipes (PDF)



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. ORCID

Antoine Legrain: 0000-0003-2702-6721 Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS We thank Camille Petit-Etienne for his help with etching experiments, Sébastien Labau for his support with chemical experiments, Gilles Cunge for stimulating discussions about the etching process as well as Alice Nicolas for her precious help with AFM measurements. Last but not least, we thank Larry O’Connell for English corrections. The research leading to these results was partly supported by the French RENATECH network, by the French Government program Investissements dAvenir managed by the National Research Agency (ANR) under the contract number ANR-10-EQPX-33, and by the European ENIAC JU project PLACYD.



REFERENCES

(1) Moore, G. E. Cramming More Components onto Integrated Circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp 114 ff. IEEE Solid State Circ. Soc. Newsl. 2006, 11, 33−35. G

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Wafer-Scale Sub-10 nm Nanopatterning. Adv. Mater. 2017, 29, 1700595. (39) Seshimo, T.; Maeda, R.; Odashima, R.; Takenaka, Y.; Kawana, D.; Ohmori, K.; Hayakawa, T. Perpendicularly Oriented Sub-10-nm Block Copolymer Lamellae by Atmospheric Thermal Annealing for One Minute. Sci. Rep. 2016, 6, 19481. (40) Aissou, K.; Mumtaz, M.; Portale, G.; Brochon, C.; Cloutet, E.; Fleury, G.; Hadziioannou, G. Templated Sub-100-nm-Thick DoubleGyroid Structure from Si-Containing Block Copolymer Thin Films. Small 2017, 13, 1603777. (41) Aissou, K.; Kwon, W.; Mumtaz, M.; Antoine, S.; Maret, M.; Portale, G.; Fleury, G.; Hadziioannou, G. Archimedean Tilings and Hierarchical Lamellar Morphology Formed by Semicrystalline Miktoarm Star Terpolymer Thin Films. ACS Nano 2016, 10, 4055− 4061. (42) Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Temperature Dependence of the Interaction Parameter of Polystyrene and Poly(methyl methacrylate). Macromolecules 1990, 23, 890−893. (43) Miquelard-Garnier, G.; Roland, S. Beware of the Flory parameter to characterize polymer-polymer interactions: A critical reexamination of the experimental literature. Eur. Polym. J. 2016, 84, 111−124. (44) Kawahara, S.; Nagai, A.; Kazama, T.; Takano, A.; Isono, Y. Preparation of Poly(1,1-dimethyl silabutane) by Anionic Polymerization and Its Crystallization. Macromolecules 2004, 37, 315−321. (45) Aissou, K.; Mumtaz, M.; Fleury, G.; Portale, G.; Navarro, C.; Cloutet, E.; Brochon, C.; Ross, C. A.; Hadziioannou, G. Sub-10 nm Features Obtained from Directed Self-Assembly of Semicrystalline Polycarbosilane-Based Block Copolymer Thin Films. Adv. Mater. 2015, 27, 261−265. (46) Rho, Y.; Aissou, K.; Mumtaz, M.; Kwon, W.; Pécastaings, G.; Mocuta, C.; Stanecu, S.; Cloutet, E.; Brochon, C.; Fleury, G.; Hadziioannou, G. Laterally Ordered Sub-10 nm Features Obtained From Directed Self-Assembly of Si-Containing Block Copolymer Thin Films. Small 2015, 11, 6377−6383. (47) Ghoshal, T.; Shaw, M. T.; Holmes, J. D.; Morris, M. A. Development of a facile block copolymer method for creating hard mask patterns integrated into semiconductor manufacturing. Nano Res. 2016, 9, 3116−3128. (48) Wu, S. Calculation of Interfacial Tension in Polymer Systems. J. Polym. Sci., Part C: Polym. Symp. 2007, 34, 19−30. (49) Cummins, C.; Mokarian-Tabari, P.; Andreazza, P.; Sinturel, C.; Morris, M. A. Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block-poly(d,l-lactide) Block Copolymer Thin Films for Directed Self-Assembly Application. ACS Appl. Mater. Interfaces 2016, 8, 8295−8304. (50) Keen, I.; Cheng, H.-H.; Yu, A.; Jack, K. S.; Younkin, T. R.; Leeson, M. J.; Whittaker, A. K.; Blakey, I. Behavior of Lamellar Forming Block Copolymers under Nanoconfinement: Implications for Topography Directed Self-Assembly of Sub-10 nm Structures. Macromolecules 2014, 47, 276−283. (51) Gejo, J. L.; Manoj, N.; Sumalekshmy, S.; Glieman, H.; Schimmel, T.; Wö rner, M.; Braun, A. M. Vacuum-Ultraviolet Photochemically Initiated Modification of Polystyrene Surfaces: Morphological Changes and Mechanistic Investigations. Photochem. Photobiol. Sci. 2006, 5, 948−954. (52) Vicente, J. S.; Gejo, J. L.; Rothenbacher, S.; Sarojiniamma, S.; Gogritchiani, E.; Wörner, M.; Kasper, G.; Braun, A. M. Oxidation of Polystyrene Aerosols by VUV-photolysis and/or Ozone. Photochem. Photobiol. Sci. 2009, 8, 944−952. (53) Baudin, C.; Renault, J.-P.; Esnouf, S.; Palacin, S.; Berthelot, T. VUV Grafting: an Efficient Method for 3D Bulk Patterning of Polymer Sheets. Polym. Chem. 2014, 5, 2990−2996. (54) Li, X.; Toro, M.; Lu, F.; On, J.; Bailey, A.; Debies, T.; Mehan, M.; Gupta, S. K.; Takacs, G. A. Vacuum UV Photo-oxidation of Polystyrene. J. Adhes. Sci. Technol. 2016, 30, 2212−2223. (55) Ruiz, R.; Wan, L.; Lopez, R.; Albrecht, T. R. Line Roughness in Lamellae-Forming Block Copolymer Films. Macromolecules 2017, 50, 1037−1046.

Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography. Nano Lett. 2017, 17, 1233−1239. (21) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. PolaritySwitching Top Coats Enable Orientation of Sub-10-nm Block Copolymer Domains. Science 2012, 338, 775−779. (22) Yoon, E.; Kim, E.; Kim, D.; Son, J. G. Top-Coat Dewetting for the Highly Ordered Lateral Alignment of Block Copolymer Microdomains in Thin Films. Adv. Funct. Mater. 2015, 25, 913−919. (23) Sunday, D. F.; Maher, M. J.; Tein, S.; Carlson, M. C.; Ellison, C. J.; Willson, C. G.; Kline, R. J. Quantifying the Interface Energy of Block Copolymer Top Coats. ACS Macro Lett. 2016, 5, 1306−1311. (24) Bai, W.; Gadelrab, K.; Alexander-Katz, A.; Ross, C. A. Perpendicular Block Copolymer Microdomains in High Aspect Ratio Templates. Nano Lett. 2015, 15, 6901−6908. (25) Li, W.; Müller, M. Directed Self-assembly of Block Copolymers by Chemical or Topographical Guiding Patterns: Optimizing Molecular Architecture, Thin-film Properties, and Kinetics. Prog. Polym. Sci. 2016, 54−55, 47−75. (26) Yoshida, A.; Yoshimoto, K.; Ohshima, M. Effect of Wall Potential on Morphology of Symmetric Diblock Copolymers in Nanotrench. Jpn. J. Appl. Phys. 2016, 55, 06GE01. (27) Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers. Adv. Mater. 2010, 22, 5129−5133. (28) Elam, J. W.; Biswas, M.; Darling, S.; Yanguas-Gil, A.; Emery, J. D.; Martinson, A. B. F.; Nealey, P. F.; Segal-Peretz, T.; Peng, Q.; Winterstein, J.; Liddle, J. A.; Tseng, Y.-C. New Insights into Sequential Infiltration Synthesis. ECS Trans. 2015, 69, 147−157. (29) Cummins, C.; Ghoshal, T.; Holmes, J. D.; Morris, M. A. Strategies for Inorganic Incorporation using Neat Block Copolymer Thin Films for Etch Mask Function and Nanotechnological Application.Strategies for Inorganic Incorporation using Neat Block Copolymer Thin Films for Etch Mask Function and Nanotechnological Application. Adv. Mater. 2016, 28, 5586−5618. (30) Moshonov, M.; Kauffmann, Y.; Frey, G. L. Self-assembled Block Copolymer Templates for Atomic Layer Deposition: The Effect of Processing Solvent. Polymer 2016, 105, 214−220. (31) Paradiso, S. P.; Delaney, K. T.; García-Cervera, C. J.; Ceniceros, H. D.; Fredrickson, G. H. Cyclic Solvent Annealing Improves Feature Orientation in Block Copolymer Thin Films. Macromolecules 2016, 49, 1743−1751. (32) Xiong, S.; Wan, L.; Ishida, Y.; Chapuis, Y.-A.; Craig, G. S. W.; Ruiz, R.; Nealey, P. F. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing. ACS Nano 2016, 10, 7855−7865. (33) Lundy, R.; Flynn, S. P.; Cummins, C.; Kelleher, S. M.; Collins, M. N.; Dalton, E.; Daniels, S.; Morris, M. A.; Enright, R. Controlled Solvent Vapor Annealing of a High χ Block Copolymer Thin Film. Phys. Chem. Chem. Phys. 2017, 19, 2805−2815. (34) Jin, C.; Olsen, B. C.; Luber, E. J.; Buriak, J. M. Nanopatterning via Solvent Vapor Annealing of Block Copolymer Thin Films. Chem. Mater. 2017, 29, 176−188. (35) Ye, C.; Sun, Y.; Karim, A.; Vogt, B. D. Extending Dynamic Range of Block Copolymer Ordering with Rotational Cold Zone Annealing (RCZA) and Ionic Liquids. Macromolecules 2015, 48, 7567−7573. (36) Majewski, P. W.; Yager, K. G. Millisecond Ordering of Block Copolymer Films via Photothermal Gradients. ACS Nano 2015, 9, 3896−3906. (37) Longanecker, M.; Modi, A.; Dobrynin, A.; Kim, S.; Yuan, G.; Jones, R.; Satija, S.; Bang, J.; Karim, A. Reduced Domain Size and Interfacial Width in Fast Ordering Nanofilled Block Copolymer Films by Direct Immersion Annealing. Macromolecules 2016, 49, 8563−8571. (38) Jin, H. M.; Park, D. Y.; Jeong, S.-J.; Lee, G. Y.; Kim, J. Y.; Mun, J. H.; Cha, S. K.; Lim, J.; Kim, J. S.; Kim, K. H.; Lee, K. J.; Kim, S. O. Flash Light Millisecond Self-Assembly of High χ Block Copolymers for H

DOI: 10.1021/acsami.7b12217 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX