Studying the Mechanism of Hybrid Nanoparticle ... - ACS Publications

Jul 1, 2015 - Department of Materials Science and Engineering, Cornell University, Ithaca, New York 14853, United States. •S Supporting Information...
0 downloads 0 Views 721KB Size
Subscriber access provided by UB + Fachbibliothek Chemie | (FU-Bibliothekssystem)

Article

Studying the Mechanism of Hybrid Nanoparticle Photoresists: Effect of Particle Size on Photo-Patterning Li Li, Souvik Chakrabarty, Konstantinos Spyrou, Christopher K. Ober, and Emmanuel P. Giannelis Chem. Mater., Just Accepted Manuscript • Publication Date (Web): 01 Jul 2015 Downloaded from http://pubs.acs.org on July 1, 2015

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

Chemistry of Materials is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 7

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Studying the Mechanism of Hybrid Nanoparticle Photoresists: Effect of Particle Size on Photo-Patterning Li Li*, Souvik Chakrabarty, Konstantinos Spyrou, Christopher K. Ober, Emmanuel P. Giannelis* Department of Materials Science and Engineering, Cornell University, Ithaca, NY, 14853. ABSTRACT: Hf-based hybrid photoresist materials with three different organic ligands were prepared by a sol-gel based method and their patterning mechanism was investigated in detail. All hybrid nanoparticle resists are patternable using UV exposure. Their particle sizes show a dramatic increase from the initial 3-4 nm to sub-micron size after exposure, with no apparent inorganic content or thermal properties change detected. XPS results showed that the mass percentage of the carboxylic group in the structure of nanoparticles decreased with increasing exposure duration. The particle coarsening sensitivities of those hybrid nanoparticles are consistent with their EUV performance. The current work provides an understanding for the development mechanism and future guidance for the design and processing of high performance resist materials for large-scale microelectronics device fabrication.

1. INTRODUCTION Advanced lithography techniques are becoming more and more critical for the fabrication of modern microelectronics and energy devices including nanogenerators,1 nanoscale photovoltaic solar cells 2 and supercapacitors,3 and high-performance resist materials are indispensable for transitioning those devices from lab to fab.4 Considering the large scale mass fabrication of wafer-based microelectronics devices, patterning technology with extremely small lithography dimensions and improved cost is required for fast integrated devices manufacturing.5 Extreme ultraviolet (EUV) lithography is considered as one of the most promising technologies to achieve fabrication of the devices smaller than 16 nm nodes.6 Compared with traditional lithography technologies, EUV lithography has stringent material requirements including high-etch resistance, high sensitivity and resolution, low line edge roughness (LER) or line width roughness (LWR), appropriate UV absorption, small molecular size, among others.7 Hybrid nanomaterials have received significant attention due to their combined functionalities from both inorganic and organic components. As a result, their physicochemical applications have been extended to many industrial fields, such as optics, biomedical coating, and energy storage.8-12 In photolithography, the special architecture and multi-functionality of hybrid nanomaterials endow the photoresists with high etch resistance while still maintaining the required processing properties of soft materials. Problems such as pattern collapse and poor pattern transfer in the sub-30 nm region suffered by conventional polymer-based photoresist materials can be prevented by hybrid nanomaterials.13 Metal oxides nanoparticles in particular have attracted a lot of attention as the inorganic component for next generation hybrid photoresists.13-15 One significant advantage of these nanoparticles in photoresist formulations is their reinforced mechanical strength and thus highetch resistance compared to the neat polymer. Appropri-

ate radiation absorbance is another requirement for EUV photoresists. Low photon absorption will not provide sufficient energy to activate chemical changes for pattern formation. On the other hand, high absorption may cause energy diffusion through the film depth, influencing the quality of pattern transfer. EUV absorption is primarily dependent on the atomic composition rather than the molecular composition of the material.16 Hafnium is an ideal candidate with appropriate EUV absorption. The hafnium oxide surface-modified with methacrylate acid showed shows great promise as hybrid nanoparticle photoresist for deep UV (DUV) and EUV lithography.17 In this work, we focus on exploring the mechanism of the hybrid photoresists that show excellent lithography performances by studying the influence of surface organic ligands on their physicochemical properties. The nanoparticles show structural changes with UV exposure, which influences their solubility in organic solvents. In particular the size change of the nanoparticles before and after UV exposure is correlated to their EUV patterning performance. A non-CAR (chemically amplified resists) patterning mechanism is also proposed. To the best of our knowledge, this is the first attempt to elucidate the patterning mechanism by following changes to particle size and thus the solubility/dispersion of the hybrid photoresist under various development conditions. The results improved the understanding of the traditional patterning mechanism and provided the significant guidance for the design and development of new high performance EUV photoresist materials for future microelectronics applications.

2. EXPERIMENTAL SECTION The hybrid nanoparticles, i.e. HfO2 surface modified with dimethylacrylic acid (DMA), methacrylic acid (MAA), and benzoic acid (BA), respectively, were synthesized by hydrolysis of hafnium isopropoxide in the presence of the acid. The experiment details about materials synthesis and characterization are shown in the Supple-

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

mentary Information. The nanoparticles were then irradiated with 254 nm UV light, as shown in Figure S1, and dispersed in organic solvent to investigate the particle size change before and after exposure. The HfO2 based nanoparticles (denoted as HfDMA for HfO2/dimethylacrylic acid, HfMAA for HfO2/methacrylic acid, and HfBA for HfO2/benzoic acid) were also tested as the photoresist materials for small scaling patterning. All nanoparticles are patternable with 20-25 nm critical dimensions (CD) and showed comparable line edge roughness variations under 13.4 nm EUV exposure (not shown herein). However, the photo-sensitivities of the three hybrid nanoparticle photoresists show an apparent difference, and are 2.2 mJ/cm2 (HfDMA), 4.2 mJ/cm2 (HfMAA), and 15 mJ/cm2 (HfBA), respectively. This interesting observation indicates that surface ligands have a strong influence on the UV sensitivity of the hybrid nanoparticles. Examination of structural changes in nanoparticles before and after UV exposure is beneficial for exploration of their patterning formation mechanism. The following investigation will be focused on the change in architecture of hybrid nanoparticles under 254 nm DUV exposure with different durations, a simplified process to mimic UV patterning.

Page 2 of 7

Figure 1-a shows the dissolution curves of HfMAA thin films before and after 254 nm DUV exposure in a negative developer. No photoacid generator or any other photoactive compounds, which were conventionally considered as the important component for CAR polymer-based resist,18 were added. The hybrid photoresist film is more difficult to be dissolved after exposure than the unexposed films of the same thickness (100 nm), although all films can be dissolved within 120 s. The intrinsic chemical/morphological changes in the hybrid nanoparticles induced by UV exposure lead to their solubility changes and, therefore, the formation of photopatterns without addition of photoactive compounds. Not surprisingly the hybrid resist is also patternable under 254 nm DUV. The corresponding line spacing pattern is shown in Figure S2. The chemical composition of Hf based nanoparticles was analyzed with FTIR and the results are shown in Figure 1b. The peaks shown in the spectra correspond to the characteristic groups of dimethylacrylic acid, methacrylic acid and benzoic acid, respectively, for the hybrid nanoparticles with the corresponding ligands. The peaks at 1420 cm-1 and 1540 cm-1 in the spectrum of all three nanoparticles is related to the symmetric (s) and asymmetric (as) stretch bands of COO-, indicating formation of chemical bonds between the metal oxide core and organic ligands.19-20 The peak at 1640 cm-1 for HfMAA nanoparticles, which is slightly shifted in HfDMA, is due to the C=C double bond in these ligands. The organic content of the hybrid nanoparticles after UV irradiation for different durations was analyzed thermogravimetrically, TG, and the results are shown in Figure 1-c. The TG traces can be divided into three stages. During the first stage between room temperature and 300 ºC, adsorbed water and small organic molecules were removed.21 The nanoparticles then experienced a dramatic weight loss (nearly over 20%) between 300-400 ºC. This weight loss is due to the thermal decomposition of organic ligands. Finally, the TG traces reach a plateau between 450-580 ºC indicative of the remaining inorganic content. Samples irradiated at different durations show a similar behavior and the same inorganic content of ~67% indicating that the organic content of all samples remained unchanged regardless of irradiation exposure. The UV-vis absorption results of all hybrid nanoparticles are shown in Figure 1-d. In contrast to neat hafnium oxide,22 all systems show an increased absorption at short wavelengths due to the presence of the organic ligands.23 The absorption peak of HfBA nanoparticles is slightly shifted to 285 nm, consistent with the C-band position of benzoic acid.24 Nevertheless all three hybrid nanoparticles showed significant and similar UV absorption at 254 nm, the wavelength of the UV lamp source used in the follow up experiments. X-ray photoelectron spectroscopy (XPS) was performed to investigate the surface composition changes in the hybrid nanoparticles irradiated with different irradiation durations. In Figure 2-a, the XPS survey scan was used to examine the surface elements and bonding of HfMAA

Figure 1. (a) QCM curves of the HfMAA thin film before and after DUV exposure in 4-methyl-2-pentanol; (b) FTIR spectra of Hf-based hybrid nanoparticles with different organic ligands; (c) TGA curves of HfMAA nanoparticles irradiated with different durations; and (d) UV-Vis absorption of hybrid nanoparticles dispersed in decalin between 200 nm and 750 nm (Inset is the magnification of the original image between 200 nm and 300 nm). The absorption from the solvent has been deducted. The wavelength of UV irradiation (254 nm) is marked with the dash line.

3. RESULTS AND DISCUSSION

2

ACS Paragon Plus Environment

Page 3 of 7

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

are observed for HfMAA with the same dosage of irradiation. On the other hand, for HfBA, the particle size is still 3-4 nm with a very narrow size distribution. Note that the three particle size distributions traces shown in Figure 3 were continuously acquired for each sample. Large particles precipitated to the bottom of the measured cuvettes with elapsed time, leaving only the smaller particles detectable by DLS for later measurements. HfDMA shows the highest photosensitivity for particle size increases among the three nanoparticles. Its particle size is larger than 1 μm after 90 min irradiation. HfBA is the least sensitive to UV exposure. A high peak at ~ 700 nm is detected for these particles after 90 min irradiation. The photosensitivities of these three nanoparticles are consistent with their sensitivities for EUV patterning.

nanoparticles before exposure. The spectra confirm the purity of the samples as no other signal except for Hf, O, and C was observed. The Si signal arises from the silicon substrate used to deposit the sample. The C 1s peak of HfMAA irradiated with 0 min, 90 min and 270 min was analyzed by high resolution scan, as shown in Figure 2-b to d. The peak at 289.0 eV is related to C=O in the carboxylic group.25 The mass percentage of the carboxylic group in the total C 1s spectrum, as evaluated from the peak intensity at the binding energy of 289.0 eV, showed a decrease from 14.1% (0 min irradiation, Figure 3-b) to 11.7% (90 min irradiation, Figure 3-c). Prolonged 270 min irradiation leads to a further decrease in the carboxylic group content to 3%, as shown in Figure 2-d.

Figure 2. XPS (a) survey scan of HfMAA without exposure; and C 1s high resolution spectra of HfMAA (b) without exposure, (c) irradiated by UV light for 90 min, and (d) 270 min.

In Figure 3, the particle sizes of the three hybrid nanoparticles after exposure with different durations were investigated. The dosage used here is comparable to the DUV patterning energy (150 mJ/cm2-500 mJ/cm2). According to Figure 3, although different nanoparticles have different photo-sensitivities, all nanoparticles experienced an increase in the particle size after UV irradiation. The hydrodynamic diameter of the three hybrid nanoparticles before exposure is 3-4 nm in propylene glycol monomethyl ether acetate (PGMEA), the solvent used for DUV and EUV photo-patterning. The hybrid nanoparticles in the absence of UV irradiation are very stable in solution and showed the same particle size of 3-4 nm with very narrow distribution even after 10 days in the dark, as shown in Figure S3. Figure S4 shows the nanoparticle suspensions after UV irradiation with an increasing amount of dosage. The solution became turbid after irradiation, indicating particle agglomeration or aggregation. A high peak at ~800 nm can be observed for HfDMA nanoparticles after 6.5 min of UV irradiation, while peaks at 8 nm

Figure 3. Particle size change of (a) HfDMA; (b) HfMAA; (c) HfBA upon UV irradiation with different duration from 0 min to 90 min;. Each sample was measured by dynamic light scattering for three times, as represented with different colors in the figure (1st measurement-black; 2nd measurementred; and 3rd measurement- blue). Number percentage of the particle size is used as the vertical axis to evaluate the particle size distribution.

We believe the change in particle size with UV irradiation and the correlation with EUV patterning sensitivity provides a clue for the development mechanism. Particle size affects solubility and increased particle size leads to decrease in their solubility and dissolution rate in a sol-

3

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

vent.26 One possible explanation for UV induced particle size increase is the heating effect caused by the absorbed photon energy, as widely reported for metal and other plasmonic nanoparticles.27-28 The architecture of Hf-based nanoparticles can be considered as the metal-oxo cluster with a cluster core and organic ligands as the functional shell.9 The photo-thermal conversion may lead to the coarsening of the metal-oxo cluster. The influence of thermal energy on the change in particle size was also investigated by heating all nanoparticles in the oven at 150 °C for 1 h (as shown in Figure S5). Obvious coarsening has been observed for HfDMA and HfMAA with hydrodynamic diameters larger than 1 μm; On the other hand, small nanoparticles (4-5 nm) can still be observed for HfBA. Heating showed a similar influence on the change in particle size of the hybrid nanoparticles as UV irradiation.

Page 4 of 7

Changes in the surface charge of nanoparticles in the solution can significantly influence the stability of nanoparticles dispersion, leading to agglomeration and aggregation.32 The behavior of the nanoparticles in the organic medium, although different from the case in water solution, can be described by the electric double layer model.33 In our case, the significant particle size increase is more likely attributed to the behavior change of the nanoparticles in the solution that is induced by limited structural changes. Specifically, detachment of small amounts of carboxylic groups in the very limited number of local sites can alter the magnitude and distribution of the surface charge of the nanoparticles, leading to suppression of electrostatic double layer width in the nonaqueous solvent, and thus agglomeration and aggregation of the nanoparticles. This may explain the significant change in the hydrodynamic diameter of the nanoparticles even under small dosage of UV irradiation with little modification in local structures. The detailed proposed mechanism is described in Schematic 1. Another interesting observation is the strong dependence of the photo-sensitivity of hybrid nanoparticles on the surface organic ligands. The nanoparticles are very sensitive to UV irradiation. Changes in surface ligands can lead to a significant variation in their hydrodynamic size and, therefore, solubility in the developer. Since all nanoparticles show similar absorption at 254 nm, the difference in the photo-sensitivity is attributed primarily to the different chemistry of surface ligands. Compared with the methacrylate and dimethacrylate groups, the benzoate ligand has larger affinity and therefore stronger binding energy to the metal oxo-cluster cores as well as higher chemical stability. Higher photon energy is, therefore, required for the photolysis of aromatic carboxylic groups and the bridging between metal oxo-clusters. In other words, the presence of the benzene ring leads to relatively higher thermal stability of the benzoate groups. The high thermal stability explains the relative insensitiveness of the particle size change in HfBA hybrid nanoparticles to high temperature heating.

Schematic 1. Proposed mechanism for the particle size increase of the hybrid nanoparticles after UV irradiation.

Both the heating effect and the possible UV-assisted partial chemical reactions at the local surface sites of the nanoparticles can lead to change in the surface structure and therefore coarsening of the nanoparticles. It has been reported that a very large dose of UV irradiation on the metal-oxo cluster can lead to photolysis of carboxylic band and a free-radical mineralization reaction.15, 29-30 During this process, molecules in the metal-oxo cluster are excited by photon absorbance for the ligand to metal charge transfer. The carboxylic groups are debonded, releasing CO2 as well as alkanes. The metal-oxo clusters are bridged to form the large oxo-clusters. Consistent with the proposed UV-assisted photolysis mechanism, our results also show the surface COO- groups on the clusters decreased with UV irradiation. However, as investigated by TG curves, only little change in organic contents has been observed before and after UV exposure, demonstrating the small dosage used in our experiment can activate the strong changes of the hydrodynamic size of nanoparticles in organic solvent but very limited structural changes. The “particle size” investigated by light scattering is the hydrodynamic diameter, a parameter that is strongly dependent on the electric double layer thickness and surface characteristic features in the solution.31

4. CONCLUSIONS To conclude, we compared the EUV lithography performances of three Hf- based nanoparticles with different surface functionalities. Possible mechanisms of UV photopatterning formation by hybrid inorganic/organic nanoparticle photoresists were explored by investigating the particle size change under UV irradiation. The particle sizes of all nanoparticles were observed to increase after UV irradiation. However, photo-sensitivities for coarsening were different for the three nanoparticles. The difference in photo-sensitivity of the nanoparticles is consistent with their EUV performance and their thermal sensitivity. While the results show an increase of particle size on exposure to radiation, we do not anticipate such an extreme growth during the patterning process. Since the

4

ACS Paragon Plus Environment

Page 5 of 7

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

level of exposure during pattern formation is much less, the changes to the particle size are much more subtle consistent with the subtle changes in solubility during development. Nevertheless, the very high sensitivity to EUV radiation combined with these changes leads to a very highly sensitive and high-resolution photoresist. Studies are underway to investigate the influence of the EUV-induced chemistry on particle size changes and photopatterning formation using different dosages. The study improves the understanding of UV lithography mechanism with hybrid inorganic/organic nanoparticles. Although the entire lithography mechanism is a combination of many complicated factors, chemical and thermal stability of the surface ligands are shown to play an important role in the performance of the nanoparticles. This work provides guidance for design of high performance photoresists for small dimension energy device fabrication.

(4) Po, R.; Bernardi, A.; Calabrese, A.; Carbonera, C.; Corso, G.; Pellegrino, A. From Lab to Fab: How Must the Polymer Solar Cell Materials Design Change? - An Industrial Perspective. Energy Environ. Sci. 2014, 7, 925-943. (5) Wagner, C.; Harned, N. EUV Lithography: Lithography Gets Extreme. Nature Photon. 2010, 4, 24-26. (6) Itani, T.; Kozawa, T. Resist Materials and Processes for Extreme Ultraviolet Lithography. Jpn. J. Appl. Phys. 2013, 52, 0002. (7) Wu, B.; Kumar, A. Extreme Ultraviolet Lithography and Three Dimensional Integrated Circuit—A Review. Appl. Phys. Rev. 2014, 1, 011104. (8) Sanchez, C.; Belleville, P.; Popall, M.; Nicole, L. Applications of Advanced Hybrid Organic-Inorganic Nanomaterials: From Laboratory to Market. Chem. Soc. Rev. 2011, 40, 696-753. (9) Schubert, U. Cluster-Based Inorganic-Organic Hybrid Materials. Chem. Soc. Rev. 2011, 40, 575-582. (10) Jeon, N. J.; Lee, J.; Noh, J. H.; Nazeeruddin, M. K.; Gratzel, M.; Seok, S. I. Efficient Inorganic-Organic Hybrid Perovskite Solar Cells Based on Pyrene Arylamine Derivatives as Hole-Transporting Materials. J. Am. Chem. Soc. 2013, 135, 19087-19090. (11) Houbertz, R.; Fröhlich, L.; Popall, M.; Streppel, U.; Dannberg, P.; Bräuer, A.; Serbin, J.; Chichkov, B. N. Inorganic–Organic Hybrid Polymers for Information Technology: From Planar Technology to 3D Nanostructures. Adv. Eng. Mater. 2003, 5, 551-555. (12) Horcajada, P.; Serre, C.; Vallet-Regi, M.; Sebban, M.; Taulelle, F.; Ferey, G. Metal-Organic Frameworks as Efficient Materials for Drug Delivery. Angew. Chem. 2006, 118, 6120-6124. (13) Oleksak, R. P.; Ruther, R. E.; Luo, F.; Fairley, K. C.; Decker, S. R.; Stickle, W. F.; Johnson, D. W.; Garfunkel, E. L.; Herman, G. S.; Keszler, D. A. Chemical and Structural Investigation of High-Resolution Patterning with Hafsox. ACS Appl. Mater. Interfaces 2014, 6, 2917-2921. (14) Ganesan, R.; Dumond, J.; Saifullah, M. S. M.; Lim, S. H.; Hussain, H.; Low, H. Y. Direct Patterning of TiO2 Using Step-and-Flash Imprint Lithography. ACS Nano 2012, 6, 1494-1502. (15) Park, H.-H.; Law, W. L.; Zhang, X.; Hwang, S.-Y.; Jung, S. H.; Shin, H.-B.; Kang, H. K.; Park, H.-H.; Hill, R. H.; Ko, C. K. Facile Size-Tunable Fabrication of Functional Tin Dioxide Nanostructures by Multiple Size Reduction Lithography. ACS Appl. Mater. Interfaces 2012, 4, 2507-2514. (16) Bratton, D.; Yang, D.; Dai, J.; Ober, C. K. Recent Progress in High Resolution Lithography. Polym. Adv. Technol. 2006, 17, 94-103. (17) Trikeriotis, M.; Krysak, M.; Chung, Y. S.; Ouyang, C.; Cardineau, B.; Brainard, R.; Ober, C. K.; Giannelis, E. P.; Cho, K. A New Inorganic EUV Resist with High-Etch Resistance. SPIE Advanced Lithography, International Society for Optics and Photonics: 2012; p 83220U.

SUPPORTING INFORMATION AVAILABLE Experimental details, materials characterization and other results are included. This information is available free of charge via the Internet at http://pubs.acs.org/.

AUTHOR INFORMATION Corresponding Author *E-mail: [email protected]; [email protected] Tel: +1412-482-8712 *E-mail: [email protected]; Fax: +1 607 255 2365; Tel: +1 607 255 9680

Notes The authors declare no competing financial interest.

ACKNOWLEDGEMENTS The authors gratefully acknowledge the funding support from SEMATECH and facilities support from Lawrence Berkeley National Laboratory (LBNL), Cornell Nanoscale Science and Technology (CNF), the Cornell Center for Materials Research (CCMR) and the KAUST-Cornell Center of Energy and Sustainability (KAUST-CU).

References (1) Kim, D.; Jeon, S.-B.; Kim, J. Y.; Seol, M.-L.; Kim, S. O.; Choi, Y.-K. High-Performance Nanopattern Triboelectric Generator by Block Copolymer Lithography. Nano Energy 2015, 12, 331-338. (2) Battaglia, C.; Escarré, J.; Söderström, K.; Erni, L.; Ding, L.; Bugnon, G.; Billet, A.; Boccard, M.; Barraud, L.; De Wolf, S. Nanoimprint Lithography for High-Efficiency Thin-Film Silicon Solar Cells. Nano Lett. 2010, 11, 661-665. (3) Kang, D.-Y.; Moon, J. H. Lithographically Defined ThreeDimensional Pore-Patterned Carbon with Nitrogen Doping for High-Performance Ultrathin Supercapacitor Applications. Sci. Rep. 2014, 4, 5392.

5

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(18) Lee, C.-T.; Henderson, C. L.; Wang, M.; Gonsalves, K. E.; Yueh, W. Effects of Photoacid Generator Incorporation into the Polymer Main Chain on 193 nm Chemically Amplified Resist Behavior and Lithographic Performance. J. Vac. Sci. Technol., B 2007, 25, 2136-2139. (19) Khaled, S. M.; Sui, R.; Charpentier, P. A.; Rizkalla, A. S. Synthesis of TiO2-PMMA Nanocomposite: Using Methacrylic Acid as a Coupling Agent. Langmuir 2007, 23, 3988-3995. (20) Sui, R.; Rizkalla, A. S.; Charpentier, P. A. FTIR Study on the Formation of TiO2 Nanostructures in Supercritical CO2. J. Phys. Chem. B 2006, 110, 16212-16218. (21) Bakalova, A.; Varbanov, H.; Buyukliev, R.; Momekov, G.; Ivanov, D. Palladium (Ii) Complexes with 5-Methyl-5-(4Pyridyl)-2, 4-Imidazolidenedione. J. Therm. Anal. Calorim. 2009, 95, 241-246. (22) Eliziário, S.; Cavalcante, L.; Sczancoski, J.; Pizani, P.; Varela, J.; Espinosa, J.; Longo, E. Morphology and Photoluminescence of HfO2 Obtained by MicrowaveHydrothermal. Nanoscale Res. Lett. 2009, 4, 1371-1379. (23) Xiong, H. M.; Wang, Z. D.; Xia, Y. Y. Polymerization Initiated by Inherent Free Radicals on Nanoparticle Surfaces: A Simple Method of Obtaining Ultrastable (ZnO) Polymer Core–Shell Nanoparticles with Strong Blue Fluorescence. Adv. Mater. 2006, 18, 748-751. (24) Ungnade, H. E.; Lamb, R. W. The Absorption Spectra of Benzoic Acid and Esters. J. Am. Chem. Soc. 1952, 74, 37893794. (25) Tarducci, C.; Schofield, W.; Badyal, J.; Brewer, S.; Willis, C. Monomolecular Functionalization of Pulsed Plasma Deposited Poly (2-Hydroxyethyl Methacrylate) Surfaces. Chem. Mater. 2002, 14, 2541-2545. (26) Alexader, G. The Effect of Particle Size on the Solubility of Amorphous Silica in Water. J. Phys. Chem. 1957, 61, 1563-1564. (27) Richardson, H. H.; Carlson, M. T.; Tandler, P. J.; Hernandez, P.; Govorov, A. O. Experimental and Theoretical Studies of Light-to-Heat Conversion and Collective Heating Effects in Metal Nanoparticle Solutions. Nano Lett. 2009, 9, 1139-1146. (28) Guler, U.; Ndukaife, J. C.; Naik, G. V.; Nnanna, A. G. A.; Kildishev, A. V.; Shalaev, V. M.; Boltasseva, A. Local Heating with Lithographically Fabricated Plasmonic Titanium Nitride Nanoparticles. Nano Lett. 2013, 13, 6078-6083. (29) Stehlin, F.; Wieder, F.; Spangenberg, A.; Le Meins, J.M.; Soppera, O. Room-Temperature Preparation of MetalOxide Nanostructures by DUV Lithography from Metal-Oxo Clusters. J. Mater. Chem. C 2014, 2, 277-285. (30) Park, H.-H.; Zhang, X.; Lee, S.-W.; Kim, K.-d.; Choi, D.G.; Choi, J.-H.; Lee, J.; Lee, E.-S.; Park, H.-H.; Hill, R. H. Facile Nanopatterning of Zirconium Dioxide Films Via Direct Ultraviolet-Assisted Nanoimprint Lithography. J. Mater. Chem. 2011, 21, 657-662.

Page 6 of 7

(31) Jiang, J.; Oberdorster, G.; Biswas, P. Characterization of Size, Surface Charge, and Agglomeration State of Nanoparticle Dispersions for Toxicological Studies. J. Nanopart. Res. 2009, 11, 77-89. (32) Ge, Y.; Zhang, Y.; Xia, J.; Ma, M.; He, S.; Nie, F.; Gu, N. Effect of Surface Charge and Agglomerate Degree of Magnetic Iron Oxide Nanoparticles on Kb Cellular Uptake in Vitro. Colloids Surf. B. Biointerfaces 2009, 73, 294-301. (33) Siffert, B.; Jada, A.; Letsango, J. E. Location of the Shear Plane in the Electric Double Layer in an Organic Medium. J. Colloid Interface Sci. 1994, 163, 327-333.

6

ACS Paragon Plus Environment

Page 7 of 7

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

112x28mm (300 x 300 DPI)

ACS Paragon Plus Environment