Surface Phenomena During Plasma-Assisted Atomic Layer Etching of

Aug 10, 2017 - Surface phenomena during atomic layer etching (ALE) of SiO2 were studied during sequential half-cycles of plasma-assisted fluorocarbon ...
2 downloads 0 Views 3MB Size
Subscriber access provided by MT SINAI SCH OF MED

Article 2

Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO Ryan James Gasvoda, Alex W. van de Steeg, Ranadeep Bhowmick, Eric A Hudson, and Sumit Agarwal

ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.7b08234 • Publication Date (Web): 10 Aug 2017 Downloaded from http://pubs.acs.org on August 14, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 35

ACS Applied Materials & Interfaces

Submitted to ACS Applied Materials and Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2 Ryan J. Gasvoda1, Alex W. van de Steeg2, Ranadeep Bhowmick3, Eric A. Hudson*3, and Sumit Agarwal*1 1

Department of Chemical and Biological Engineering, Colorado School of Mines,1613 Illinois Street, Golden, CO 80401, U.S.A. 2 Applied Physics Department, Eindhoven University of Technology, PO Box 513, 5600 MB Eindhoven, The Netherlands 3 Lam Research Corporation, 4650 Cushing Parkway, Fremont, CA 94538, U.S.A.

Abstract Surface phenomena during atomic layer etching (ALE) of SiO2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CFx) film deposition, and Ar plasma activation of the CFx film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CFx deposition half-cycle from a C4F8/Ar plasma show that an atomically thin mixing layer is formed between the deposited CFx layer and the underlying SiO2 film. Etching during the Ar plasma cycle is activated by Ar+ bombardment of the CFx layer, which results in the simultaneous removal of surface CFx and the underlying SiO2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CFx deposition, which combined with an ultrathin CFx layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ~4 Å thick CFx film, ~3–4 Å of SiO2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CFx layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CFx on reactor walls leads to a gradual increase in the etch per cycle. *Corresponding author emails: [email protected]; [email protected]

Keywords: atomic layer etching, infrared spectroscopy, ellipsometry, plasma processing, interface engineering

1 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

Submitted to ACS Applied Materials and Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

1. Introduction As device dimensions continue to shrink in semiconductor manufacturing for the sub-7 nm node and beyond, three dimensional devices with high aspect ratios nanostructures will dominate, placing stringent constraints on the etching and deposition processes.1 These constraints limit the application of conventional deposition and etching techniques in future semiconductor processing.1-2 Atomic layer processing is being widely studied to address the challenges in the manufacturing of these next-generation high-aspect-ratio devices.3 While atomic layer deposition (ALD) has been extensively investigated over the last couple of decades, and currently used in device manufacturing, atomic layer etching (ALE) processes for device manufacturing are just being developed.4-7 Similar to ALD, ALE is operated in two cyclic half-reaction steps with the goal of removing a fraction to a few monolayers of material in a single cycle.8 Under ideal conditions, the first ALE half-cycle modifies the surface to form a reactive layer, and in the second half-cycle, only this reactive surface layer is removed.4,

8

Over the last decade, ALE has been realized for a variety of materials

including but not limited to SiO2, SiNx, Si, Al2O3, and HfO2.4-7, 9-10 For wider application of ALE in semiconductor device processing, and for it to serve as a complement to ALD, there is a critical need to understand the underlying surface phenomena. SiO2 is one of the most commonly used materials in semiconductor devices.11 Conventional plasma etching of SiO2 using fluorocarbon-based chemistry is well understood through numerous studies performed over the last few decades.1, 12 In conventional plasma etching, also referred to as reactive ion etching, anisotropic removal of a material is enabled by the synergistic effect of the impinging reactive neutral species combined with energetic ion bombardment normal to the substrate surface.13 During SiO2 etching with a fluorocarbon plasma, it is well known that a thin fluorocarbon (CFx) film exists on top of the SiO2 surface, which also forms a covalently-bonded mixing layer at the CFx-SiO2 interface. This intermixing at the interface occurs due to ion bombardment, and all SiO2 etch products are 2 ACS Paragon Plus Environment

Page 2 of 35

Page 3 of 35

ACS Applied Materials & Interfaces

Submitted to ACS Applied Materials and Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

generated in this layer. Specifically, the F atoms in the CFx film bond with the Si in SiO2 to form volatile SiF4, while the C atoms in the CFx film bonds with O to form CO and CO2, which are also volatile leaving groups.14-16 Under steady-state etching conditions, the thickness of the CFx film and the mixing layer depends on the ion bombardment energy. In a typical fluorocarbon plasma such as CHF3, at low ion bombardment energies,