Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by

2 days ago - Here, we report experimental realization of fully conformal 3D TSSBs, demonstrating the simultaneous power-and-energy benefits of 3D stru...
0 downloads 3 Views 1MB Size
Subscriber access provided by UNIV OF NEW ENGLAND ARMIDALE

Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry Alexander Pearse, Thomas Schmitt, Emily Sahadeo, David M Stewart, Alexander Kozen, Konstantinos Gerasopoulos, A. Alec Talin, Sang Bok Lee, Gary W. Rubloff, and Keith E Gregorczyk ACS Nano, Just Accepted Manuscript • DOI: 10.1021/acsnano.7b08751 • Publication Date (Web): 24 Apr 2018 Downloaded from http://pubs.acs.org on April 24, 2018

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

TOC 228x101mm (72 x 72 DPI)

ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 19

Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry Alexander Pearsea, Thomas Schmitta, Emily Sahadeob, David M. Stewarta,e, Alexander Kozend, Konstantinos Gerasopoulosc, A. f

b

a,e

a

Alec Talin , Sang Bok Lee , Gary W. Rubloff , Keith E. Gregorczyk a

Department of Materials Science and Engineering, University of Maryland, College Park, MD 20742

b

Department of Chemistry, University of Maryland, College Park, MD 20742

c

Research and Exploratory Development Department, The Johns Hopkins University Applied Physics Laboratory, Laurel, MD

20723 d

American Society for Engineering Education, residing at the U.S. Naval Research Laboratory, 1818 N St NW, Suite 600

Washington DC, 20036 f

Sandia National Laboratory, Materials Physics Department, MS9161, 7011 East Ave, Livermore, CA, 94550

e

Institute for Systems Research, University of Maryland, College Park, MD 20742

ACS Paragon Plus Environment

Page 3 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Abstract

Three dimensional thin-film solid-state batteries (3D TSSB) were proposed by Long et al. in 2004 as a structurebased approach to simultaneously increase energy and power densities. Here, we report experimental realization of fully conformal 3D TSSBs, demonstrating the simultaneous power-and-energy benefits of 3D structuring. All active battery components - electrodes, solid electrolyte, and current collectors - were deposited by atomic layer deposition (ALD) onto standard CMOS processable silicon wafers microfabricated to form arrays of deep pores with aspect ratios up to approximately 10. The cells utilize an electrochemically prelithiated LiV2O5 cathode, a very thin (40 – 100 nm) Li2PO2N solid electrolyte, and a SnNx anode. The fabrication process occurs entirely at or below 250°C, promsing compatibility with a variety of substrates as well as integrated circuits. The multilayer battery structure enabled all-ALD solid-state cells to deliver 2

37 μAh/cm ·μm (normalized to cathode thickness) with only 0.02% per-cycle capacity loss. Conformal fabrication of full cells over 3D substrates increased the areal discharge capacity by an order of magnitude while simulteneously improving power performance, a trend consistent with a finite element model. This work shows that the exceptional conformality of ALD, combined with conventional semiconductor fabrication methods, provides an avenue for the successful realization of longsought 3D TSSBs which provide power performance scaling in regimes inaccessible to planar form factor cells.

Keywords: Solid-state battery, three-dimensional solid-state battery, conformal battery, energy storage, three-dimensional energy storage. When combined with energy scavenging systems, all solid-state rechargeable batteries are a preferred solution for energizing autonomous microsystems capable of operating remotely over extended periods of time and have potential applications in implantable medical devices, smart cards, and distributed sensor networks that are expected to form the backbone of what is sometimes referred to as the ‘Internet of Things.’1–3 Despite significant progress improving the performance of conventional lithium ion batteries (LIBs), the of use liquid electrolytes greatly complicates miniaturization and integration with the rest of the typically Si-based microsystem. In contrast, all-solid-state LIB are compatible with onchip Si IC manufacturing, exhibit extremely long lifetimes, have negligible self-discharge rates, and offer enhanced safety due to negligible flammability and high temperature stability. The challenge is to significantly increase the low areal energy density of commercial planar thin-film solid-state batteries (TSSBs), typically ~0.1 mAh/cm2 or less, which limits both 4

performance and miniaturization of the autonomous microsystems. Increasing the thickness of the battery electrodes to store more energy reduces the power capability because ions must diffuse longer distances, leading to an unavoidable trade-

2 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 19

off. Furthermore, thickness is ultimately limited by the fracture toughness of the active layers (i.e., cracking, delamination, etc.).2 Alternatively, 3D SSBs have been proposed to increase the electrode surface area per footprint area.

3

Enabling

TSSB

fabrication

on

3D

substrates

represents a structure-based approach5 to improve both power and energy performance. High specific power densities can be achieved by increasing the surface area upon which a TSSB is formed, improving the areal capacity while maintaining thin electrodes and well-defined locally 1-D current distributions. This concept is outlined schematically in Figure 1. The advantage of a 3D architecture in the context of

Figure 1: Methods of improving energy storage metrics for thin film solid state batteries. Increasing the electrode thickness scales energy density at the expense of power density due to the rapid increase in the characteristic diffusion time for ions in the thick electrode. Fabricating TSSBs in a 3D structure both increases areal material loading and increases power performance through a decrease in the internal current density due to the larger internal surface area.

footprint-limited applications can be quantified by the “area enhancement factor”   / , where  is the footprint area of the battery on the substrate and  is the true total internal surface area. 3D TSSBs were first proposed in 2004 by Long et al. and have been a goal of the energy storage 1,6–9

community since at least that time, with several subsequent reports outlining the benefits of 3D architectures .

While

conceptually simple, experimental realization of such 3D TSSBs has been stymied by the need for synthesis techniques to produce extremely conformal active layers over 3D topography, and only a handful of examples of working cells have been reported. Arguably the most successful design was described by Peled et al., who developed Li-ion full cells formed in 4

microchannel plates using a mixture of electrodeposition and physical impregnation steps. Pikul et al. described a highpower microbattery using 3D electrodeposited electrodes, though it required extensive encapsulation due to the use of a liquid electrolyte.10 Talin et al. have underscored the importance of conformality in a recent study; in this work 3D TSSB layers were fabricated using RF sputtering over modest aspect ratio scaffolds, however such 3D cells actually performed 11,12

worse than planar analogues due to electrical leakage and inhomogeneous current distributions.

A better approach to fabricating full cells with uniform thickness in high aspect ratio 3D structures is to use vaporphase chemistry methods such as chemical vapor deposition (CVD) or atomic layer deposition (ALD). ALD in particular is capable of uniform growth in structures with aspect ratios in the hundreds, and works at temperatures low enough

3 ACS Paragon Plus Environment

Page 5 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

(generally below 300°C) to enable deposition on a variety of surfaces, e.g., flexible polymeric and fiber substrates.

13,14

Importantly, ALD and CVD are both mature techniques integrated with existing semiconductor manufacturing. Despite significant work exploring the growth and electrochemical performance of individual battery components in isolation,15–17 there are no published reports of full solid-state batteries in which all active components are grown via a conformal vapor-phase deposition technique such as CVD or ALD. Growing thin film materials with vapor-phase chemistry is generally more complicated than by PVD, as each process involves a carefully designed surface-mediated chemical reaction, in contrast to ablating and re-depositing material from a prefabricated target. This leads to a limited selection of ALD processes for high-quality electrode materials. Conformal inorganic solid electrolytes formed by ALD are even more limited, and include CVD lithium phosphate, ALD LiPON variants, and a few mixed lithium metal oxides.

14,18–22

ALD-grown electrolytes

are particularly attractive because of their ability to realize complete electrical isolation in full cells at thicknesses below 50 14

nm, compared to ~100 nm minimum electrolyte thickness achievable with sputtering. The reduction in electrolyte thickness has several benefits, including higher volumetric energy density, higher ionic conductance, and reduction in deposition time.14 Here, we report experimental realization of a fully conformal 3D solid-state thin-film Li-ion battery, which is constructed using 5 conformal layers grown via ALD. The electrochemical couple is formed by a V2O5 cathode

23

which is

electrochemically pre-lithiated to form crystalline LiV2O5, and an amorphous conversion-type tin nitride (SnNx) anode. The solid electrolyte is a previously described LiPON-family material, Li2PO2N.14 A detailed description of the fabrication methods and rationale for specific materials selection can be found in Supplementary Sections 1 and 2, respectively. First, we construct planar solid-state half-cells comprised of either the anode or the cathode paired with Li2PO2N and a Li metal anode in order to assess operating potential range, electrochemical kinetics of each electrode, and the mutual compatibility of the electrode and ALD electrolyte (Supplementary Section 3). Next, we fabricate planar full cells using the ALD-grown materials in order to assess the kinetics and stability of the full cell chemistry, as well as optimal capacity matching for the electrodes. Optimized all-ALD full cells exhibit excellent cycling stability and reach 37 μAh/cm2·μm, normalized to the cathode thickness. Finally, we successfully integrate the full cell ALD film stack with 3D-structured substrates and demonstrate the simultaneous increase in both the areal capacity and the rate performance. Demonstrated benefits of 3D structuring include an order-ofmagnitude improvement in areal capacity, improved rate performance, and improved round-trip efficiency. Finally, we discuss the performance of the 3D cells in the context of state-of-the-art TSSBs and provide a simulation-guided path to fabricating cells with further increased performance metrics.

4 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 19

Results/Discussion Full Cell Fabrication

The strategy for fabricating and testing conformal 3D SSBs is schematically outlined in Figure 2a-f. We first fabricate 3D structures by etching hexagonal arrays of cylindrical pores into a Si substrate using deep reactive ion etching (DRIE)(Figure 2b) with the following dimensions: pore diameter of 3μm, center-to-center spacing of 6μm, and depth of either 12 or 30μm. The AEF of a hexagonal array of cylindrical pores with diameter , center-to-center spacing , and depth can be found to be  

√ 



, leading to an expected AEF of 3.9 for the 12um pores and 9.7 for the 30um pores. For the purposes

of labeling, and due to some uncertainty in the exact surface area because of a scalloping effect from the DRIE process, we refer to these structures as simply AEF 4 and AEF 10. We first deposit all 5 battery layers without patterning (Figure 2c). Then we utilize shadow-masked PVD deposition

Figure 2: Fabrication and characterization of 3D solid state thin film batteries. (a-d) Schematic of fabrication of devices. (a) The silicon starting substrate. (b) Formation of cylindrical pore arrays via photolithographic patterning and deep reactive ion etching (DRIE) of Si. Pores are 3μm wide and either 12 (AEF 4) or 30 (AEF 10) μm in depth. (c) Blanket deposition of five active device layers via ALD, including electrochemical lithiation of the cathode as discussed in the text. (d) Deposition of Cu through a shadow mask to form 1mm diameter circular dual purpose etch mask/ needle probe contacts. (e) Isolation of individual batteries via Ar+ ion milling through anode current collector and anode films. (f) Battery testing through contact with top electrode and cathode current collector layers. (g) Optical photograph of finished battery “chip”. Each chip is dual sided, with 3D batteries on the left and planar batteries on the right. Optical iridescence from the 3D array causes the visible coloration. (h) Cross-sectional TEM image of an all-ALD solid state battery with 40nm Ru/70nm LiV2O5/50nm Li2PO2N / 10nm SnNx/ 25nm TiN. (i) Overview of ALD chemistry and process temperature for each layer visible in (h).

5 ACS Paragon Plus Environment

Page 7 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

of circular 1 mm diameter Cu discs which serve as robust electrical contacts and as etch hard masks for defining separate +

batteries, each of which comprises many 3D TSSBs in pores (Figure 2d). Finally, Ar ion milling is used to etch the anode and anode current collector, thus isolating the separate batteries (Figure 2e). All testing is done in an Ar-filled glovebox without further encapsulation, by making contact with an exposed area of the blanket Ru bottom-layer cathode current collector and a Cu pad as top contact (Figure 2f). We use Si wafers with pore arrays covering only half of the surface, as shown in Figure 2g, so that one side contains 3D cells and the other planar cells. This allows tested 3D configurations to be compared 1-to-1 with planar cells made from the same deposition runs, so that any changes in performance can be reliably attributed to structural differences in the battery devices. A typical all-ALD battery stack is shown in the TEM cross section in Figure 2h, and the ALD chemistries used to deposit it are outlined in Figure 2i. In order of deposition, the battery is formed from 40 nm of Ru, 70nm of V2O5 that is then electrochemical lithiated to LiV2O5, 40 – 100 nm Li2PO2N, 10nm SnNx, 25 nm TiN, and is finally partially covered in a thick layer of electron-beam evaporated Cu etch mask patterned via shadow mask lithography. The entire synthesis process takes place at or below 250 oC. The ALD Li2PO2N is able to form a conformal and pinhole-free layer at thicknesses as low as 40nm, leading to a ~100% tested device yield for planar batteries in terms of electrical isolation between anode and cathode. Downscaling the solid electrolyte thickness is attractive for decreasing both cell impedance and deposition time. We previously established that ~30 nm Li2PO2N was the lower limit for operation of a LiCoO2/Si couple, and we observe similar 14

trends for the LiV2O5/SnNx couple. The initial yield for 3D cells depends on the exact process conditions and aspect ratio. 3D cells made with very thin Li2PO2N are more sensitive to failure through the development of space charge limited electronic conduction, possibly as a result of field-enhancing corners and asperities produced during DRIE.11,12 With 100nm Li2PO2N, the yield again approaches 100% in 3D structures.

6 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 19

Figure 3: Scanning electron microscopy (SEM) and energy dispersive spectroscopy (EDS) cross-sectional characterization of a working ALD full cell (40nm Ru/70nm LiV2O5/40nm Li2PO2N /25nm SnNx/25nm TiN) grown into an AEF 10 structure. Data are taken from a battery chip cleaved along one row of holes. (a-b) SEM images of the top and bottom corners of a single cylindrical pore, shown in full length in (c). The battery layers are fully conformal down the length of the pore, including the Li2PO2N electrolyte. (d-f) SEM-EDS line scans of the elemental concentration of P, Ru, Sn, Ti, and V from the top (d) middle (e) and bottom (f) of two pores. Peaks are associated with the increased effective sample depth at the pore walls. Each element is present throughout the depth of the pores.

The battery layers are fully conformal in the pore structures, even with an aspect ratio of 10, as indicated by SEM and energy dispersive spectroscopy (EDS) based characterization of the cross section of a cleaved AEF 10 chip (Figure 3). Figures 3a-b show SEM images of the battery stack at the top corner and bottom corner of one pore, with the locations highlighted by the black squares in Figure 3c. This particular 3D cell was made using 40nm Li2PO2N, which is visible as the dark layer in the film stack and shows little or no change in Li2PO2N thickness from top to bottom (Figures 3a-b). The exceptional thickness uniformity of all 5 ALD layers highlights the conformality and self-alignment of ALD deposition,12 which is depicted quantitatively (Figure 3d-f) in EDS line scans for representative elements of each active layer at the top, middle, and bottom of the pore. This cell could be charged and discharged (Figure S6). For more details concerning the fabrication procedure and materials selection please refer to Supplementary Sections 1 and 2, respectively. Additional SEM images of a tested AEF 4 cell are available as Figure S7. Electrochemical Characterization of Planar Full Cells

Beginning on the planar side (i.e. right-side Figure 2g), we first discuss the electrochemical properties of the LiV2O5 / Li2PO2N / SnNx system itself. The behavior of the full cell is consistent with the individual electrochemical behavior of the anode and cathode as revealed by solid-state half cells tested with evaporated Li as the counter-electrode, which importantly demonstrates that each component and interface of the cell is compatible with the full device processing conditions. Comprehensive half-cell data is presented in Supplementary Section 3. Figure 4 shows electrochemical data (subsequent to

7 ACS Paragon Plus Environment

Page 9 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Figure 4: Characterization and performance of all-ALD planar solid-state cells. (a) Characteristic galvanostatic charge-discharge curves using current densities between 50 and 2000 μA/cm2. (b) Cycling data showing the charge capacity, discharge capacity, and Coulombic efficiency of 400 cycles at 50 μA/cm2.

the first cycle conversion reaction) from capacity-matched cells using 70nm LiV2O5 and 10nm SnNx. High-rate galvanostatic 2

2

2

testing between 50 μA/cm and 2000 μA/cm (Figure 4a) and cycling at 50 μA/cm (Figure 4b) demonstrates that the full cell achieves good rate performance and is remarkably stable for 400 cycles, particularly since it utilizes a conversion/alloying type anode. To compliment the galvanostatic profiles, cyclic voltammetry on the full cell confirmed the characteristic doublet peak associated with lithium intercalation in LiV2O5 (Figure S3). The reversible capacity stabilizes after a few dozen cycles at 2

2

approximately 2.6 μAh/cm , which corresponds to 37 μAh/cm ·μm normalized to the cathode thickness, and the cell impedance remains constant as a further indicator of electrochemical stability (Figure S8) This value represents 75% of the theoretical capacity of the cathode after the initial conversion reaction. The Columbic efficiency stabilizes at 99.7% and the observed capacity fade is 0.02%/cycle between cycles 50-400, likely due to gradual Li loss through reactions with trace atmospheric species. We note that full-cell tests of capacity matched Li-ion cells are particularly stringent, as there is no tolerance for irreversible Li loss as in the half cells using Li anodes in excess. Full Cell 3D Solid-State Batteries

Having successfully demonstrated a functional solid-state battery from the perspectives of materials synthesis and electrochemical behavior, we turn to the concept of 3D architectures. We successfully integrated the full cell into 3D substrates with AEF 4 and AEF 10, and found the footprint-normalized battery performance to be dramatically improved in terms of capacity, rate performance, and round-trip efficiency (RTE). These batteries are a successful example of an operating self-aligned solid-state battery grown by conformal vapor phase deposition and serve as a benchmark for future 2

optimization. Figure 5a shows 100 galvanostatic cycles at 100 μA/cm between 3.3V and 0.5V for a planar, an AEF 4, and an

8 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 19

Figure 5: Electrochemical performance of 3D solid state batteries. (a) Cycling performance of AEF 1, 4, and 10 batteries galvanostatically cycled 100 times at 100 μA/cm2. (b) First and second charge and discharge profiles of AEF 1, 4, and 10 batteries. The arrows show the measured capacity enhancement of the AEF 4 and 10 devices relative to the AEF 1 (planar) battery, with the upper arrows showing the enhancement factors measured for the first charge and the lower arrows indicating those for the first discharge. The first-cycle CE is 64%, 58%, and 55%, respectively, for AEF 1, 4, and 10 cells. (c) Discharge capacity as a function of the applied current density for AEF 1, 4, and 10 batteries. Data were taken after a burn-in process, i.e. after the majority of the rapid capacity loss observable in the first 50 cycles in (a). (d) Cell voltage vs. normalized capacity (Q/Qmax) for AEF 1, 4 and 10 batteries cycled at 1 mA/cm2 after burn-in. The arrows indicate the measured overpotential η at Q/Qmax = 0.5.

AEF 10 cell, with Figure 5b displaying the first and second charge/discharge curves from the same data set. These cells were fabricated with the standard 70nm LiV2O5/10nm SnNx loading using 100nm Li2PO2N as the solid electrolyte (Figure S7). During the initial cycles, the device performance reflects the geometric area-enhancement expected. As shown by the horizontal arrows in Figure 5b, the measured capacity enhancement of the first charge relative to the planar reference cell is 4.5x for the AEF 4 battery and is 10.8x for the AEF 10 battery, followed by 4x and 9.3x, respectively, for the first discharge. This is direct evidence of (1) the uniformity of the battery layers within the 3D geometry as well as (2) the ability of the solid-state electrolyte to provide full electrical isolation in 3D structures. The ultimate test of a 3D architecture is its ability to maintain deliverable capacity with applied current densities beyond the reach of planar architectures. Figure 5c plots the measured rate performance of the three tested geometries between 0.1 and 10 mA/cm2, where the applied current density  is normalized to the cell footprint area on the wafer. To prevent convolution with early-cycle capacity fade as the rate was varied, these data were taken after a “burn in” process of multiple slow CV cycles which stabilized the capacity of the 3D cells. A kinetic analysis of the half-cell performance of LiV2O5 in combination with a finite-element simulation of Li transport (detailed in Supplementary Section 4) in the solid electrolyte

9 ACS Paragon Plus Environment

Page 11 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

and cathode reveals that it is fundamentally impossible to improve the power performance of planar LiV2O5-based TSSBs for 2

 ≥  μA/cm by simply increasing the thickness of the cathode film. The fundamental reason for this is that the characteristic time for a given Li flux (i.e. current density) required to reach the Li concentration corresponding to the cut-off potential at the electrode/electrolyte interface is independent of the electrode thickness, and only a thin section of a thick electrode is utilized at high currents. Clearly, 3D structuring results in better capacity retention at higher current densities while simultaneously improving total discharge capacity. The planar cell capacity falls precipitously at currents above 2 mA/cm2, whereas, even at an exceptionally high current density of 10 mA/cm2, the AEF 10 cell delivers a discharge capacity greater than that of the planar cells at 0.1 mA/cm2 (i.e., 100X lower current density). A comparison of Figure 5c and Figure S4c shows that the experimental 3D full cells are operating qualitatively as expected from simulation and fully capture the 2

benefits of 3D structuring, as power performance consistently improves when tested at  ≥  μA/cm

3D structuring also significantly improves the round-trip efficiency (RTE) of batteries cycled at moderate to high rates through the reduction of the internal current density, which reduces both Ohmic and charge transfer overpotentials. 24

2

Conversion-type electrode materials commonly suffer from a low RTE. As seen in Figure 5d, full cycles at 1 mA/cm display a progressive reduction in overpotentials from AEF 1 (planar) to AEF 10 (3D) configurations of the TSSBs. At the midpoint for normalized capacity /  . , the charge-discharge hysteresis overpotential η is reduced from 1.51V for AEF 1 to 0.71 V for AEF 10, and the net RTE is improved from 45% to 64%.

Prospects for Scaling Architecture and Materials

The 3D batteries described here achieve the highest power reported for vanadium oxide-based TSSBs and establish a path towards a performance regime currently inaccessible with standard planar solid-state batteries. Here we briefly place the experimental results into context and explore future opportunities for performance scaling. Areal energy density vs. average areal power density (derived from galvanostatic tests in all cases) for a variety of architectures both experimental and simulated are shown on the log-log plot in Figure 6. The AEF 10 3D TSSB (solid blue circles) is superior to both planar counterparts, namely LiV2O5 half-cells (solid orange squares) and all-ALD planar full cells (solid light blue circles), as expected. Comparing to a 600nm V2O5/LiPON/Li TSSB described by Navone et al. 25 (solid pink squares) further illustrates the benefit of 3D structuring. Extrapolating this data to higher power suggests that Navone’s cells would not compete with the planar allALD devices at higher power densities even with a ~8.5x thicker cathode. Furthermore, the planar all-ALD cell (solid orange

10 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

squares)

performs

as-well-as

the

Page 12 of 19

best-characterized

example of a V2O5 lithium-ion TSSB, which used a deeply lithiated vanadium oxide (LVO) film as the anode.26 While this work represents a significant step forward in terms of 3D battery fabrication, we also wish to establish a path towards exceeding the best existing TSSBs in terms of areal performance metrics. The proof-of-concept designs described here are not competitive with the best examples of planar SSBs using thick, sputter-deposited, and highly crystalline LiCoO2 (LCO) cathodes, where average ∗ for LiCoO2 can reach over   cm2/s, leading to extraordinary power performance.

27,28

This diffusivity is ~300X higher

Figure 6: Ragone plot of device performance for various TSSB configurations. Squares denote data from LiV2O5/Li cells, circles from LiV2O5/SnNx cells, and triangles from LiCoO2/Li cells. Solid symbols denote experimental data while outlined symbols (the topmost two curves) denote data from COMSOL simulations as described in the supplementary information. Data for the 600nm V2O5/Li cell is extracted from Ref. 49, data for the 100nm V2O5/LVO cell was estimated from ref. 50, and data for the 2500nm LCO/Li cell is extracted from Ref. 4.

than that of Li in ALD-grown LiV2O5 (∗      cm /s), so that much thicker cathode layers can be used at reasonable 2

power levels. The consequences are depicted in experimental data for a 2500 nm LiCoO2/LiPON/Li cell developed by Dudney et al. (solid blue triangles, Figure 6), which operates with over 50% capacity retention at power densities of over 10 mW/cm2 and provides a suitable benchmark.2 Straightforward methods of optimizing our 3D cells include: (1) increasing the AEF through etching higher aspect ratio structures and packing them more closely, (2) increasing the thickness of the cathode film and/or (3) replacing the cathode with a different material entirely. Replacing the anode with Li or Si, which may be possible in specific lower AEF configurations using CVD or simple melt-impregnation, would also improve the cell voltage and reduce the first-cycle irreversibility. In principle, there is no hard limit to either the thickness of films grown by ALD or the aspect ratio in which they can be deposited. However, growing films more than a few hundred nm by ALD is likely impractical due to the slow rate of deposition. Since the precursor dose required for saturated growth scales as approximately the square of the aspect ratio,29 batteries with an AEF of more than ~100 would be extremely challenging to fabricate. AEFs of ~50 for an ALD TiO2 16

half-cell tested with a liquid electrolyte have been recently demonstrated, so we use this value as an achievable goal. The simulations below assume the use of a Li anode for simplicity. We include in Figure 6 simulations for two architectures which we believe represent reasonable upper bounds for ALD-grown 3D microbatteries, shown by the topmost curves. Details of the simulation method are presented in

11 ACS Paragon Plus Environment

Page 13 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Supplementary Section 4. Projected performance for higher aspect ratio pores (AEF 50) and thicker LiV2O5 cathode (300 nm) together with a 100 nm Li2PO2N solid electrolyte (Figure 6, red open squares) would significantly exceed the energy density 2

of existing LCO-based TSSBs and reach the mWh/cm . However, LiV2O5-based cells are likely not capable of besting thick LCObased planar TSSBs at power densities above 10 mW/cm2, since power performance is still constrained by the low diffusivity of Li in LVO. A real breakthrough from 3D thin film solid state batteries requires replacing the LiV2O5 cathode with conformallygrown high quality LiCoO2 (LCO). Simulations for an AEF 50 3D TSSB with 300nm LCO, assuming a typical ∗ of 10

-10

2

cm /s,

yield a solid-state electrochemical storage device on the verge of competing with conventional Li-ion cells, projecting energy density 3.9 mWh/cm2 and power density of 386 mW/cm2 at 90% capacity retention (corresponding to an approximate C-rate of 110). Conformal deposition of high quality LCO may be possible through further optimization of an ALD process30 or using conformal electrodeposition with ∗ >10

-11

31

or CVD, options to be explored in further work. Reports of well-crystallized V2O5 electrodes

2

cm /s suggest significant improvements may yet be possible with ALD-grown LiV2O5 by using additional

treatments or process modification.32 The energy density of the all-ALD cells can also be significantly increased by more deeply prelithiating the ALD V2O5 to Li2V2O5, which preliminary experiments indicate is also a promising approach.

Conclusions

3D structuring of thin-film solid-state batteries is a promising method of producing high-performance, intrinsically safe energy storage devices with exceptional areal energy and power densities. We have established a set of materials which are mutually compatible, are grown in electrochemically active phases at low temperatures (≤ 250C), and can be reliably made in high aspect ratio 3D architectures using conventional ALD deposition tools which are now common in industrial and university settings. 3D cells are successfully fabricated through deposition into micromachined silicon substrates followed by masked etching. Full electrical isolation between anode and cathode is achieved with solid electrolytes ≤ 100nm in structures with an AEF of up to 10. Solid-state batteries made from the LiV2O5-SnNx couple exhibit stable capacities of 2.6 μAh/cm2, (37 μAh/cm2·μm normalized to the cathode thickness) for hundreds of cycles. The areal discharge capacity of these cells can be scaled up to 9.3x that of planar cells through integration with 3D substrates. Most importantly, 3D structuring improves the rate performance and round-trip efficiency of the cells while simultaneously increasing the areal capacity. This 2

beneficial combination was measured in a range of current densities (  ≥  μA/cm ), which simulation shows to be a power-performance regime virtually inaccessible to planar cells. The 3D architecture enables decoupling of energy and power

12 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 19

density - allowing improvements in both - as contrasted with the tradeoff between energy and power that is intrinsic to planar architectures. Future development of 3D TSSBs can utilize a “mix-and-match” strategy for materials selection combined with the fabrication scheme developed in this work, though at the present time the ALD Li2PO2N electrolyte is probably the best conformal inorganic electrolyte available. While the use of LiV2O5 may continue to be appropriate for integration with temperature-sensitive substrates such as polymer films, matching and exceeding the performance of conventional Li-ion cells may well require its replacement with a cathode material with a higher chemical diffusion constant for Li, such as LiCoO2. It would also be interesting to explore the integration of the conformal TSSBs described here with more extensively threedimensional substrates, such as fabrics, fibers, conductive metal foams, which could form the basis of multifunctional energystoring materials and composites.

Methods/Experimental All samples were fabricated using Si test wafers as a starting material. The device footprint of all tested electrochemical devices (half cells, full cells, and 3D cells) was defined by a 1mm diameter circular contact pad. Planar halfcell devices were constructed from diced Si wafers coated with a 70nm Pt current collector deposited via electron-beam deposition with a 5nm Ti adhesion layer. For half cells 3μm thick Li metal electrodes were deposited using thermal evaporation through a stainless-steel shadow mask in a homebuilt vacuum evaporator coupled to an Ar filled glovebox. 3D substrates were fabricated via the formation of etch masks via standard photolithographic patterning followed by deep reactive ion etching (DRIE) using a Bosch process in an STS etching system. Etched wafers were RCA-cleaned and subsequently thermally oxidized in a Tystar CVD system to form a 200nm SiO2 layer, serving as a Li diffusion barrier and pristine surface for ALD growth. After ALD deposition/electrochemical formation of the 5 active layers, individual batteries were defined by depositing via electron beam deposition 1μm of Cu through a shadow mask, acting as a probe contact and +

etch mask. After Cu deposition, low energy Ar ion milling with SIMS-based endpoint detection (4Wave Systems) was used to etch the TiN and SnNx layers, which electrically isolated each top contact. Samples are briefly air-exposed after the formation of the cathode layer, but further synthesis and characterization is performed entirely in vacuum or Ar environments. Ruthenium metal was grown in a homebuilt tube-furnace type reactor using Ru(EtCp)2 and O2 at 250˚C. Crystalline V2O5 was grown in a Beneq TFS 500 ALD reactor using vanadium triisopropoxide (VTOP) and O3 at 170˚C using an optimized variant of a previously described process. After V2O5 deposition, LiV2O5 was formed via galvanostatic electrochemical insertion of Li in a 0.25M LiClO4/propylene carbonate (PC) electrolyte with a Li metal counter electrode at a C/3 rate, with a

13 ACS Paragon Plus Environment

Page 15 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

cutoff of 2.8V vs. Li. Excess electrolyte/salt was removed by briefly soaking the sample in pure PC and rinsing with isopropanol. The Li2PO2N solid electrolyte was grown at 0.6Å/cyc in a Fiji F200 ALD reactor using lithium tert-butoxide and 33

diethyl phosphoramidate as reactants at 250˚C. The Li2PO2N thickness ranged from 40 – 100 nm for various devices. For 3D substrates, an exposure process was used in which a butterfly valve shut off active pumping to the chamber during precursor pulses to ensure full conformality. Following Li2PO2N deposition, the samples were transferred without air exposure into a second Fiji F200 ALD reactor. The SnNx anode was deposited at 200˚C using tetrakis(dimethylamido)tin (TDMASn) and a N2 plasma with a growth rate of 0.5Å/cyc, followed by deposition of TiN using tetrakis(dimethylamido)titanium (TDMAT) and a N2 plasma, also at 200˚C and with a similar growth rate. Layer thicknesses were measured by SEM cross section and have an estimated error of 10%. X-ray photoelectron spectroscopy (XPS) was performed using a Kratos Axis Ultra DLD spectrometer vacuum coupled to both the ALD reactor and glovebox used for device synthesis and testing, which allowed for characterization without air exposure. XPS was taken using a monochromated Al x-ray gun operated at 144W using pass energies between 160 and 20 eV. Spectra were calibrated, when possible, to a hydrocarbon C 1s peak at 284.8 eV. XPS peak fitting was done using CasaXPS using Shirley or linear backgrounds and 50/50 Voight-type lineshapes using appropriate area ratios for spin-orbit split components. Focused ion beam processing and scanning electron microscopy were performed using a Tescan XEIA FEG SEM dual beam system. Transmission electron microscopy was performed using a JEM 2100 FEG TEM. Individual batteries were tested in an Ar-filled glovebox via connection with custom-built micromanipulator probe contacts with coaxial connections to an external Biologic VSP potentiostat with an impedance channel. PEIS data were taken at room temperature with an excitation amplitude of 50mV.

Author Contributions A.J.P, G.W.R., and K.E.G. conceived and designed the research program. A.J.P. fabricated and characterized devices and developed the computational models. A.A.T., K.G., T.E.S., E.S., and D.S. contributed to materials development and characterization. A.C.K. and K.G. contributed to research infrastructure. A.A.T. and S.B.L contributed significant theoretical and experimental expertise. A.J.P and K.E.G. wrote the manuscript. All authors have agreed upon the final version of the manuscript.

Acknowledgements All aspects of this work were initiated and supported by Nanostructures for Electrical Energy Storage (NEES), an Energy Frontier Research Center (EFRC) funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences

14 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 19

under award number DESC0001160. This work builds on regular discussions within the NEES T4 Solid State Storage thrust, on frequent extensive discussions with Henry White and Kim McKelvey (U. Utah) as well as Bruce Dunn (UCLA). Some aspects of the 3D array microfabrication process were partially supported by Independent Research and Development Funding from the Research & Exploratory Development Department (REDD) of the Johns Hopkins University Applied Physics Laboratory (JHU/APL). We appreciate the facilities and support within the Maryland NanoCenter, including its Fablab for device fabrication and its AIMLab for microscopy and FIB. Ion milling was performed at the NIST Center for Nanoscale Science and Technology.

Supporting Information Additional details concerning device fabrication, materials selection, solid-state half-cell measurements, and models and simulations used are available online in the supplementary information.

References 1 J. F. M. Oudenhoven, L. Baggetto and P. H. L. Notten, All-Solid-State Lithium-Ion Microbatteries: A Review of

Various Three-Dimensional Concepts, Adv. Energy Mater., 2011, 1, 10–33. 2

N. J. Dudney, Solid-State Thin-Film Rechargeable Batteries, Mater. Sci. Eng. B Solid-State Mater. Adv. Technol., 2005, 116, 245–249.

3

F. Le Cras, B. Pecquenard, V. Dubois, V. P. Phan and D. Guy-Bouyssou, All-Solid-State Lithium-Ion Microbatteries Using Silicon Nanofilm Anodes: High Performance and Memory Effect, Adv. Energy Mater., 2015, 5, 1–10.

4

M. Nathan, D. Golodnitsky, V. Yufit, E. Strauss, T. Ripenbein, I. Shechtman, S. Menkin and E. Peled, Three-Dimensional Thin-Film Li-Ion Microbatteries for Autonomous MEMS, J. Microelectromechanical Syst., 2005, 14, 879–885.

5

M. Noked, C. Liu, J. Hu, K. Gregorczyk, G. W. Rubloff and S. B. Lee, Electrochemical Thin Layers in Nanostructures for Energy Storage, Acc. Chem. Res., 2016, 49, acs.accounts.6b00315.

6

P. H. L. Notten, F. Roozeboom, R. A. H. Niessen and L. Baggetto, 3-D Integrated All-Solid-State Rechargeable Batteries, Adv. Mater., 2007, 19, 4564–4567.

7

L. Baggetto, R. A. H. Niessen, F. Roozeboom and P. H. L. Notten, High Energy Density All-Solid-State Batteries: A Challenging Concept Towards 3D Integration, Adv. Funct. Mater., 2008, 18, 1057–1066.

8

M. Roberts, P. Johns, J. Owen, D. Brandell, K. Edstrom, G. El Enany, C. Guery, D. Golodnitsky, M. Lacey, C. Lecoeur, H. Mazor, E. Peled, E. Perre, M. M. Shaijumon, P. Simon and P.-L. Taberna, 3D Lithium Ion Batteries- From Fundamentals to Fabrication, J. Mater. Chem., 2011, 21, 9876.

15 ACS Paragon Plus Environment

Page 17 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

9

J. W. Long, B. Dunn, D. R. Rolison and H. S. White, Three-Dimensional Battery Architectures, Chem. Rev., 2004, 104, 4463–4492.

10

J. H. Pikul, H. Gang Zhang, J. Cho, P. V. Braun and W. P. King, High-Power Lithium Ion Microbatteries From Interdigitated Three-Dimensional Bicontinuous Nanoporous Electrodes, Nat. Commun., 2013, 4, 1732.

11

D. Ruzmetov, V. P. Oleshko, P. M. Haney, H. J. Lezec, K. Karki, K. H. Baloch, A. K. Agrawal, A. V. Davydov, S. Krylyuk, Y. Liu, J. Huang, M. Tanase, J. Cumings and A. A. Talin, Electrolyte Stability Determines Scaling Limits for Solid-State 3D Li Ion Batteries, Nano Lett., 2012, 12, 505–511.

12

A. A. Talin, D. Ruzmetov, A. Kolmakov, K. McKelvey, N. Ware, F. El Gabaly, B. Dunn and H. S. White, Fabrication, Testing, and Simulation of All-Solid-State Three-Dimensional Li-Ion Batteries, ACS Appl. Mater. Interfaces, 2016, 8, 32385–32391.

13

S. M. George, Atomic Layer Deposition: An Overview., Chem. Rev., 2010, 110, 111–31.

14

A. J. Pearse, T. E. Schmitt, E. J. Fuller, F. El-Gabaly, C. F. Lin, K. Gerasopoulos, A. C. Kozen, A. A. Talin, G. Rubloff and K. E. Gregorczyk, Nanoscale Solid State Batteries Enabled by Thermal Atomic Layer Deposition of a Lithium Polyphosphazene Solid State Electrolyte, Chem. Mater., 2017, 29, 3740–3753.

15

X. Meng, X. Q. Yang and X. Sun, Emerging Applications Of Atomic Layer Deposition for Lithium-Ion Battery Studies, Adv. Mater., 2012, 24, 3589–3615.

16

M. Letiche, E. Eustache, J. Freixas, A. Demortiere, V. De Andrade, L. Morgenroth, P. Tilmant, F. Vaurette, D. Troadec, P. Roussel, T. Brousse and C. Lethien, Atomic Layer Deposition of Functional Layers for on Chip 3D Li-Ion All Solid State Microbattery, Adv. Energy Mater., 2017, 7, 1601402.

17

L. Ma, R. B. Nuwayhid, T. Wu, Y. Lei, K. Amine and J. Lu, Atomic Layer Deposition for Lithium-Based Batteries, Adv. Mater. Interfaces, 2016, 3, 1600564.

18

J. Liu, M. N. Banis, X. Li, A. Lushington, M. Cai, R. Li, T.-K. Sham and X. Sun, Atomic Layer Deposition of Lithium Tantalate Solid-State Electrolytes, J. Phys. Chem. C, 2013, 117, 20260–20267.

19

A. C. Kozen, A. J. Pearse, C.-F. Lin, M. Noked and G. W. Rubloff, Atomic Layer Deposition of the Solid Electrolyte LiPON, Chem. Mater., 2015, 150709110756002.

20

B. Put, M. J. Mees, N. Hornsveld, A. Sepulveda, P. M. Vereecken, W. M. M. Kessels and M. Creatore, Plasma - Assisted ALD of Lipo(N) for Solid State Batteries, ECS Trans., 2017, 75, 61–69.

21

D. Qian, B. Xu, H.-M. Cho, T. Hatsukade, K. J. Carroll and Y. S. Meng, Lithium Lanthanum Titanium Oxides: A Fast Ionic

16 ACS Paragon Plus Environment

ACS Nano 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 19

Conductive Coating for Lithium-Ion Battery Cathodes, Chem. Mater., 2012, 24, 2744–2751. 22

J. Xie, J. F. M. Oudenhoven, P.-P. R. M. L. Harks, D. Li and P. H. L. Notten, Chemical Vapor Deposition of Lithium Phosphate Thin-Films for 3D All-Solid-State Li-Ion Batteries, J. Electrochem. Soc., 2015, 162, 249–254.

23

X. Chen, E. Pomerantseva, K. Gregorczyk, R. Ghodssi and G. Rubloff, Cathodic ALD V2O5 thin films for high-rate electrochemical energy storage, RSC Adv., 2013, 3, 4294.

24

U. Boesenberg, M. A. Marcus, A. K. Shukla, T. Yi, E. Mcdermott, P. F. Teh, M. Srinivasan, A. Moewes and J. Cabana, Asymmetric Pathways in the Electrochemical Conversion Reaction Of NiO As Battery Electrode with High Storage Capacity, DOI:10.1038/srep07133.

25

C. Navone, R. Baddour-Hadjean, J. P. Pereira-Ramos and R. Salot, Sputtered Crystalline V2O5 Thin Films for All-SolidState, J. Electrochem. Soc., 2009, 156, 763–767.

26

S.-H. Lee, P. Liu, C. E. Tracy and D. K. Benson, All-Solid-State Rocking Chair Lithium Battery on a Flexible Al Substrate, Electrochem. Solid-State Lett., 1999, 2, 425.

27

B. Wang, J. B. Bates, F. X. Hart, B. C. Sales, R. A. Zuhr and J. D. Robertson, Characterization of Thin-Film Rechargeable Lithium Batteries with Lithium Cobalt Oxide Cathodes, J. Electrochem. Soc., 1996, 143, 3203.

28

Y.-I. Jang, B. J. Neudecker and N. J. Dudney, Lithium Diffusion in LixCoO2, Electrochem. Solid-State Lett., 2001, 4, A74.

29

R. G. Gordon, D. Hausmann, E. Kim and J. Shepard, A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches, Chem. Vap. Depos., 2003, 9, 73–78.

30

M. E. Donders, W. M. Arnoldbik, H. C. M. Knoops, W. M. M. Kessels and P. H. L. Notten, Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries, J. Electrochem. Soc., 2013, 160, A3066–A3071.

31

H. Zhang, H. Ning, J. Busbee, Z. Shen, C. Kiggins, Y. Hua, J. Eaves, J. Davis, T. Shi, Y.-T. Shao, J.-M. Zuo, X. Hong, Y. Chan, S. Wang, P. Wang, P. Sun, S. Xu, J. Liu and P. V Braun, Electroplating Lithium Transition Metal Oxides, Sci. Adv., 2017, 3, e1602427.

32

Z. Lu, M. D. Levi, G. Salitra, Y. Gofer, E. Levi and D. Aurbach, Basic Electroanalytical Characterization of Lithium Insertion into Thin, Well-Crystallized V2O5 Films, J. Electroanal. Chem., 2000, 491, 211–221.

33

A. J. Pearse, T. E. Schmitt, E. J. Fuller, F. El-Gabaly, C. F. Lin, K. Gerasopoulos, A. C. Kozen, A. A. Talin, G. Rubloff and K. E. Gregorczyk, Nanoscale Solid State Batteries Enabled by Thermal Atomic Layer Deposition of a Lithium Polyphosphazene Solid State Electrolyte, Chem. Mater., 2017, 29, 3740–3753.

17 ACS Paragon Plus Environment

Page 19 of 19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

18 ACS Paragon Plus Environment