Vertically Integrated Multiple Nanowire Field Effect Transistor - Nano

Nov 6, 2015 - School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, ...
6 downloads 21 Views 2MB Size
Subscriber access provided by UNIV OF CAMBRIDGE

Communication

Vertically Integrated Multiple Nanowire Field Effect Transistor Byung-Hyun Lee, Min-Ho Kang, Dae-Chul Ahn, Jun-Young Park, Taewook Bang, Seung-Bae Jeon, Jae Hur, Dongil Lee, and Yang-Kyu Choi Nano Lett., Just Accepted Manuscript • DOI: 10.1021/acs.nanolett.5b03460 • Publication Date (Web): 06 Nov 2015 Downloaded from http://pubs.acs.org on November 8, 2015

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

Nano Letters is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Vertically Integrated Multiple Nanowire Field Effect Transistor Byung-Hyun Lee, 1,2 Min-Ho Kang,3 Dae-Chul Ahn, 1 Jun-young Park, 1 Taewook Bang, 1 SeungBae Jeon,1 Jae Hur, 1Dongil Lee, 1 and Yang-Kyu Choi1* 1

School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea

2

Department of Memory Business, Samsung Electronics, San #16 Banwol-Dong, Hwasung-City, Gyeonggi-Do 445-701, Republic of Korea

3

Department of Nano-process, National Nanofab Center (NNFC), 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Republic of Korea

Byung-Hyun Lee: [email protected] Min-Ho Kang: [email protected] Dae-Chul Ahn: [email protected] Jun-Young Park: [email protected] Taewook Bang: [email protected]

ACS Paragon Plus Environment

1

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 26

Seung-Bae Jeon: [email protected] Jae Hur: [email protected] Dongil Lee: [email protected] Yang-Kyu Choi: [email protected] * Address correspondence to [email protected].

ACS Paragon Plus Environment

2

Page 3 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Abstract A vertically integrated multiple channel-based field-effect transistor (FET) with the highest number of nanowires reported ever is demonstrated on a bulk silicon substrate without use of wet etching. The driving current is increased by five-fold due to the inherent vertically stacked five-level nanowires, thus showing good feasibility of three-dimensional integration-based high performance transistor. The developed fabrication process, which is simple and reproducible, is used to create multiple stiction-free and uniformly sized nanowires with the aid of the one-route all-dry etching process (ORADEP). Furthermore, the proposed FET is revamped to create nonvolatile memory with the adoption of a charge trapping layer for enhanced practicality. Thus, this research suggests an ultimate design for the end-of-the-roadmap devices to overcome the limits of scaling.

Keywords: Silicon nanowire (SiNW), Gate-all-around (GAA), Vertical integration, Field-effect transistor (FET), Three-dimensional nonvolatile memory, One-route all-dry etch.

ACS Paragon Plus Environment

3

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 26

During the past several decades, microelectronics has led to the remarkable growth of information technology (IT), and the transistor has played a crucial role in this achievement. The transistor is the most fundamental component among the great variety of electronic components used to configure a functional block. With the development of silicon-based semiconductor technology, the metal-oxide-semiconductor field-effect transistor (MOSFET) has been studied carefully for its geometrical miniaturization, yielding continual improvements in the fabrication cost, productivity levels, and performance.1 However, the continuous scaling down of the transistor gave rise to fatal short-channel effects (SCEs)2-3 in addition to process limitations, which has increased the demand for a novel approach to meet new high-performance and scalability goals. In order to overcome the severe SCEs originating from the continuing device miniaturization efforts, considerable endeavors has been dedicated to the use of new materials,4-7 innovative designs,8,9 and novel operations.10-12 Despite the fact that several new materials hold great promise, however, silicon still remains the most attractive material when considering its cost and the maturity of its fabrication process.13 In particular, various attempts based on the silicon process, which is a core technology for fabricating semiconductor devices, have permitted novel designs of transistors to suppress SCEs, resulting in the creation of three-dimensional (3-D) structures beyond the conventional two-dimensional (2-D) structures.14 As a result, multiple-gate structures with high gate controllability, such as a tri-gate,15 omega-gate,16 and gate-all-around (GAA)17 structures, were introduced as alternatives. Among them, due to its reinforced electrostatic controllability and transport properties, the GAA structure is considered by many to be the most promising candidate to extend the roadmap of electronic devices with regard to the suppression of SCEs.13, 17 In this respect, the GAA-based silicon nanowire (SiNW) structure

ACS Paragon Plus Environment

4

Page 5 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

allowed for the extreme scaling down of the transistor to less than 3 nm, 18 thereby showing high potential for versatile applications in various fields.19-22 Although the GAA-based SiNW is an optimal structure with which to suppress SCEs, this structure is associated with a critical problem related to the extreme scaling down of NW. Due to the strong dependency on the size of the NW with respect to the on-state current (ION), employing this structure requires a proper compromise between the controllability of SCEs and the drivability of ION.23 Continuous research to increase ION under given dimensions have led to various attempts, such as strained silicon and new channel materials with high mobility.13 However, severe process variability, the increasing fabrication cost, and poor compatibility with the silicon process are all issues which have yet to be resolved. Here, the increase of the ION rate should be prudently taken into account. It is typically reported that the drivability of ION using strained silicon technology increases by 200 %.24 However, the improvement effect remarkably decreases by 25 % under sub-100 nm regime, 25-27 and further the effect can be unexpectedly degraded by extremely scaled NW below 10 nm. It should be noted that the recorded increase of the rate, i.e., 25 %, is also not acceptable for the feasibility of high-performance-oriented SiNW transistors. Considering the process-induced variability and fabrication costs, the most indisputable solution for the improvement of ION is to augment the number of NWs such as in a multiple-channel-based FET.28 However, laterally bundling multiple NWs (multi-NWs) directly conflicts with the density of the integration due to the enlarged size of the transistor. In addition, a research on the vertical nanowire FET comprised of a cylindrical shell-like gate wrapping a silicon pillar was reported.29It has a drain (D) electrode at top and a source (S) electrode at bottom, which are perpendicular to wafer surface. But the vertical nanowire FET has a few weaknesses. First, in the vertical nanowire FET, a gate length is corresponding to a height

ACS Paragon Plus Environment

5

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 26

difference between S/D thus there is only one-gate length (fixed gate length) in a wafer because such height is determined by the etching time of a silicon pillar. Hence a circuit design to use various gate lengths is limited. Second, in terms of packing density, the horizontal nanowire FET is preferred because its foot print in layout is not increased as long as the multiple nanowires are vertically stacked. Third, the inherent asymmetric S/D resistance arisen from the vertical configuration of the pillar is problematic as reported elsewhere. 30,31 Thus, the development of an optimal structure that satisfies high ION and good scalability simultaneously is required. The best strategy is to combine the GAA structure to suppress SCEs for ultimate scalability and a vertically integrated NW structure to maximize the ION current for high performance. In this study, we demonstrate a vertically integrated multi-NW FET (VM-FET) on a bulk-Si substrate using one-route all-dry etching process (ORADEP) for the first time. The vertical stacking of NW has been suggested as an alternative to meet the new requirements of high performance and good scalability. However, previous results revealed a limitation to the stable formation of a vertically integrated multi-NW structure due to the complexity of the process and process-induced critical failures. Fang et al.32 fabricated a vertically integrated multi-NW by stacking of dual materials. However, their method requires careful treatment of the interface due to the use of a heteromaterial. Unlike the oxidation and wet etching process reported by Fang et al., the selective dry etching announced by Dupré et al.33 and Bernard et al.34 is easy and simple technique for the stable formation of vertically integrated 3-D channel. But, their works cannot avoid the process complexity originated from the epitaxial growth of Si and Ge. Another approach to realize a vertically integrated multi-NW structure was proposed in the work of Sacchetto et al.35 and Ng et al.36 Their scheme, which is known as the Bosch process, allowed the fabrication of a frame for the multi-NW structure via deep reactive-ion etching

ACS Paragon Plus Environment

6

Page 7 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

(DRIE). However, sequential iterative sacrificial oxidation and wet etching led to serious concerns over stiction failure, as the structure is associated with the unstable formation of NW as well as greater process complexity. Therefore, the stable formation of vertically integrated multiNW in the fabrication process with high controllability and high reproducibility remains a challenge. Under such conditions, this study demonstrates a simple ORADEP which can be used to create stable vertically integrated multi-NW structures without stiction failure, which permits for the first time the stable formation of multi-NWs stacked up to five levels. Because the process offers high uniformity and high reproducibility, it can be utilized for the creation of highly integrated arrays with even more than five levels. Moreover, it is possible to utilize the process regardless of whether a bulk-Si or a silicon-on -insulator (SOI) substrate is used. An image of a VM-FET with the most number of NWs was captured with the aid of high-resolution transmission electron microscopy (TEM), which proves the superiority of this process compared to previous results.32,35,36 The device exhibited outstanding performance without a significant degradation of important parameters compared to a single NW-based FET. Furthermore, the VM-FET with oxide/nitride/oxide (ONO) gate dielectric which served as a charge-trapping layer was successfully applied to nonvolatile memory (NVM), resulting in reliable memory functioning. Note that this result is suitable for the full 3-D integration of high-capacity Flash memory based on its low cost and high throughput using a fully complementary MOS (CMOS) compatible process. Thus, this study demonstrates the feasibility of the most advanced type of transistor, which offers high performance, good scalability, and excellent controllability of SCEs for future electronics. The formation of the vertically integrated multi-NW is the most crucial procedure for fabricating such a VM-FET with five channels. Therefore, the ORADEP was introduced as a

ACS Paragon Plus Environment

7

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 26

key process in this study, as illustrated in Figure 1a. The ORADEP consists of polymer passivation and a sequential isotropic dry etching step. First, C4F8-based polymer is adsorbed on the region patterned by an oxide hard mask, where the hard mask serves as a protection layer during the ORADEP to form vertically integrated multi-NW. Next, plasma etching using SF6 gas is done to form the NWs. Because the sidewall of the patterned silicon is protected from the C4F8-based polymer during the SF6-based plasma etching process, it is possible to form a NW profile by means of isotropic dry etching. The iteration of such a process, i.e., polymer passivation and plasma etching, allows the reproducible formation of uniform NW. Unlike previous procedures, there is no sequential carving oxidation or wet etching to fabricate vertically integrated multi-NW structures when using this process. Accordingly, ORADEP circumvents process complexity and stiction failure. However, non-optimized condition of the ORADEP can cause either a scallop pattern, in which each NW is not completely separated from others, or even broken NWs (See the Supporting Information). Therefore, it should be noted that the optimization of the main parameters, such as the flux of the gas, i.e., C4F8 or SF6, the polymer passivation and plasm etching times, the chuck temperature, and the plasma power, is required. The detailed process conditions are provided in the Supporting Information. An image of a vertically integrated multi-NW structure formed by the ORADEP is presented in Figures 1b and 1c. The uniform and stiction-free formation of NWs as realized using the optimum conditions is identified via scanning electron microscopy (SEM) and TEM. Figure 2 shows the flow of the main fabrication process. A bulk-Si wafer was used as a substrate. The channel region is defined by a high-resolution photolithography process, and the optimized ORADEP is then employed to form the vertically integrated multiNWs. For the isolation of the transistors, an inter-layer dielectric (ILD) material is deposited

ACS Paragon Plus Environment

8

Page 9 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

with the aid of a low pressure chemical vapor deposition (LPCVD) technique, followed by planarization using a chemical mechanical polishing (CMP) technique. The release of the SiNW for the GAA structure is done via the partial etching of the ILD. Thermal oxidation and a LPCVD process are employed, respectively, to form the gate dielectrics for the transistor and the memory function. The poly-crystalline silicon (poly-Si) for the gate electrode is deposited by LPCVD to surround the SiNW, after which the poly-Si is plarnarized by means of the CMP process. In order to protect the actual channel region during the ion implantation process to form the S/D electrode, the proper hard mask layers composed of oxide and nitride were deposited on the planarized poly-Si. And then, the gate was patterned by a typical photolithography and dry etching process. Finally, the S/D region to serve as an electrode is formed by self-aligned ion implantation, followed by a rapid thermal annealing (RTA) process for dopant activation and the curing of implantation-induced physical damage. Self-aligned S/D implantation was carried out while the exposed gate blocked the dopants as the implant stopper. Thus excepting the region covered by the exposed gate, all other areas were heavily doped. The I-V characteristics shown in Figure 4 demonstrate the suitability and acceptable variability arisen from the proposed processes. Supporting Information includes the details of the overall process. A schematic of a VM-FET fabricated using this process is illustrated in Figure 2b. Figure 3a shows cross-sectional TEM images of the fabricated device cut along the a-a' direction shown in Figure 2b. The clear separation of NWs surrounded by poly-Si shows the complete realization of the GAA structure with the vertically integrated multi-NWs. Note that the presence of five vertically integrated SiNWs with a uniform profile verifies the reliable process reproducibility of the ORADEP. Figure 3b shows an enlarged image of one of the

ACS Paragon Plus Environment

9

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 26

SiNWs shown in Figure 3a. Thermally grown silicon dioxide (SiO2) surrounding the SiNW with a type of rhombus serves as a gate dielectric layer for a MOSFET, which is clearly defined by energy-dispersive X-ray spectroscopy (EDS) mapping as shown in Figure 3c. The highresolution image of the SiNW in Figure 3d shows the silicon lattice. Its high-crystallinity is also supported by the fast Fourier transform (FFT) image in Figure 3e, demonstrating the high stability of the ORADEP. In addition to the VM-FET with the thermal oxide for the gate dielectric, silicon/oxide/nitride/oxide/silicon (SONOS) structure-based nonvolatile memory (NVM) with vertically integrated multi-NWs was fabricated. Excluding the process to form the gate dielectric, the fabrication process of the memory is equivalent to that of a VM-FET created with thermal oxide. Figure 3f shows a cross-sectional TEM image of vertically integrated SONOS NW-based NVM. The thickness of tunnel oxide with a 3 nm, charge trapping nitride with a 6 nm, and barrier oxide with 8 nm are clearly defined in Figure 3g, as supported by the EDS mapping analysis results shown in Figure 3h. The electrical characteristics of this type of VM-FET are shown in Figure 4. The drain current – drain voltage (ID-VD) characteristic of the VM-FET with five channels is compared to that of the single NW-based transistor in Figure 4a. The VM-FET with five channels exhibits a significant enhancement of ION compared to the single NW-based FET. Figure 4b shows a comparison of ION at the same operation voltage considering the difference in the threshold voltage (VT), where a significant increase in ION, by nearly fivefold, is identified in the VM-FET with five channels. The drain current – gate voltage (ID-VG) characteristic shown in Figure 4c exhibits a high ION which exceeds 100µA with a steep switching function. No severe performance degradation or abnormal characteristics were noted during the switching operation. The VM-FET with five channels results in clearly improved drivability of ION without the

ACS Paragon Plus Environment

10

Page 11 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

abnormal degradation of any of the parameters, which verifies the feasibility of this device for the vertical stacking of NW and ultimately to mitigate the performance degradation caused by the extreme scaling down of NW. In addition to the VM-FET with the thermal oxide gate dielectric, the memory effect of a five level integrated GAA-based SONOS NW FET is presented in Figure 5. The NVM characteristics are demonstrated in Figures 5a to 5d. As shown in Figure 5a, a large programming window above 5 V, referring to the difference in the threshold voltage before and after programming, is obtained from this memory device without degradation of the subthreshold slope (SS), demonstrating the viability of multi-level cell (MLC) operation. That is, each programming voltage gives rise to a reproducible change of the threshold voltage, leading to various memory states with good controllability. Figure 5b shows the change in the threshold voltage, i.e., the memory window, as a function of the stress time, resulting in stable program/erase transient characteristics. A robust data retention time and reliable switching endurance are also achieved (Figures 5c and 5d), providing further evidence of the superb controllability of process-induced variability even for the multi-NW FET. These results indeed can have an effect on 3-D integration for high-capacity memory aimed at a low-cost, simple, and fully CMOS-compatible fabrication process. In summary, we demonstrate for the first time a GAA structure-based vertically integrated multi-NW FET which can handle up to five channels. The ORADEP obtained from the optimization of the deep RIE process permitted the formation of uniform multi-NWs, thus reducing the complexity of the process and mitigating stiction failure. The fabrication of such a device is based on a fully CMOS-compatible process, thereby guaranteeing high integrity. Images of the fabricated device, i.e., the vertically integrated five level SiNW-based FET, were acquired with high-resolution TEM. The device exhibited remarkably improved ION drivability

ACS Paragon Plus Environment

11

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 26

without a significant degradation of the main parameters, compared to a GAA-based FET with a single SiNW design. These results thus support the good controllability of process-induced variability as well as, the feasibility of this device for versatile applications which may utilize high-performance-based SiNW structures. The demonstration of such a device is meaningful in view of the suppression of the SCE, the enhancement of the performance, and the effective scalability. Furthermore, an application to NVM using the device was successfully introduced with the achievement of stable memory functions such as a large memory window, a robust retention time, and reliable switching endurance. The findings here are expected to be effective with regard to the development of highly integrated 3-D NVM created by means of a fully CMOS compatible process. Thus, this research suggests an optimum configuration for end-ofthe-roadmap devices aimed at versatile future electronics.

Acknowledgments This work was supported by the Center for Integrated Smart Sensors funded by the Ministry of Science, ICT & Future Planning as Global Frontier Project (CISS-2011-0031848). This research was partially supported by the Pioneer Research Center Program through the National Research Foundation of Korea funded by the Ministry of Science, ICT & Future Planning (Grant 20120009594). Supporting Information -

Fabrication process

-

Experimental equipment

-

Nanowire FETs with performance booster

-

GIDL in the VM-FET

ACS Paragon Plus Environment

12

Page 13 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

-

VM-FET with laterally parallel stacks of the nanowire

ACS Paragon Plus Environment

13

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 26

References (1)

Deshpande, V.; Barraud, S.; Jehl, X.; Wacquez, R.; Vinet, M.; Coquand, R.; Roche, B.; Voisin, B.; Triozon, F.; Vizioz, C.; Tosti, L.; Previtali, B.; Perreau, P.; Poiroux, T.; Sanquer, M.; Faynot, O. J. Solid State Electron. 2013, 84, 179—184.

(2)

Frank, D. J.; Dennard, R. H.; Nowak, E.; Solomon, P. M.; Taur, Y.; Wong, H.-S. P. P. IEEE, 2001, 89, 259—288.

(3)

Xu, M.; Zhu, H.; Zhao, L.; Yin, H.; Zhong, J.; Li, j.; Zhao, C.; Chen, D.; Ye, T. IEEE Electr. Device. L. 2015, 36, 648—650.

(4)

Cao, W.; Kang, J.; Sarkar, D.; Liu, W.; Banerjee, K. IEEE T. Electron. Dev. 2015, PP, 1—11.

(5)

Alamo, J. A. Nature 2011, 479, 317—323.

(6)

Zhang, L.; Gunji, M.; Thombare, S.; McIntyre, P. C. IEEE Electr. Device. L. 2013, 34, 732—734.

(7)

Wernersson, L. –E. Microelectron Eng. 2015, 147, 344—348.

(8)

Colinge, J.-P.; Lee, C.-W.; Afzalian, A.; Dehdashti, N.; Yan, R.; Ferain, I.; Razavi, P.; O'Neill, B.; Blake, A.; White, M.; Kelleher, A.-M.; McCarthy, B.; Murphy, R. Nat. Nanotechnol. 2010, 5, 225—229.

(9)

Dai, Y.-H.; Xu, J.-B.; Zu, H.-F.; Zhao, Y.-Y.; Wang, J.-Y. Mat. Sci. Semicon. Proc. 2015, 31, 229—234.

(10) Kleemann, H.; Gunther, A. A.; Leo, K.; Lussem, B. Small, 2013, 9, 3670—3677.

ACS Paragon Plus Environment

14

Page 15 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

(11) Zhao, H.; Chen, Y.; Wang, Y.; Zhou, F.; Xue, F.; Lee, J. IEEE Electr. Device L. 2010, 31, 1392—1394. (12) Wu, J.; Min, J.; Taur, Y. IEEE T. Electron Dev. 2015, 62, 3019—3024. (13) Iwai, H. Solid State Electron. 2015, 112, 56—67. (14) Colinge, J. –P. FinFETs and Other Multi-Gate Transistors, Springer, 2008 (15) Sun, X.; Lu, Q.; Moroz, V.; Takeuchi, H.; Gebara, G.; Wetzel, J.; Ikeda, S.; Shin, C.; Liu T. –J. K. IEEE Electr. Device L. 2008, 29, 491—493. (16) Barraud, S.; Coquand, R.; Casse, M.; Koyama, M.; Hartmann, J.-M.; Maffini-Alvaro, V.; Comboroure, C.; Vizioz, C.; Aussenac, F.; Faynot, O., Porioux, T. IEEE Electr. Device. L. 2012, 33, 1526—1528.

(17) Singh, N.; Agarwal, A.; Bera, L. K.; Liow, T. Y.; Yang, R.; Rustagi, S. C.; Tung, C. H.; Kumar, R.; Lo, G. Q. Balasubramanian, N.; Kwong, D. –L. IEEE Electr. Device L. 2006, 27, 383—386. (18) Lee, H.; Yu, L. –E.; Ry u, S. –W.; Han, J. –W.; Jeon, K.; Jang, D. –Y.; Kim, K. –H.; Lee, J.; Kim, J. –H.; Jeon, S. C.; Lee, G. S.; Oh, J. S.; Park, Y. C.; Bae, W. H.; Lee, H. M.; Yang, J. M. Yoo, J. J.; Kim, S. I.; Choi, Y. –K. VLSI Symp. Tech. Dig. 2006, 58—59. (19) Black, C. T. Appl. Phys. Lett. 2005, 87, 163116. (20) Barrelet, C. J.; Greytak, A, N.; Lieber, C. M. Nano Lett. 2004, 4, 1981—1985. (21) Ramanathan, K.; Bangar, M. A.; Yun, M.; Chen, W.; Myung, N. V.; Mulchandani, A. J. Amer. Chem. Soc. 2005, 127, 496—497.

ACS Paragon Plus Environment

15

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 26

(22) Hood, L.; Heath, J. R.; Phelps, M. E.; Lin, B. Science 2004, 306, 640—643. (23) Kyogoku, S.; Iwata, J.; Oshiyama, A. Phys. Rev. E 2013, 87, 165418. (24) Rim, K.; Anderson, R.; Boyd, D.; Cardone, F.; Chan, K.; Chen, H.; Christansen, S.; Chu, J.; Jenkins, K.; Kanarsky, T.; Koester, S.; Lee, B.H.; Lee, K.; Mazzeo, V.; Mocuta, A.; Mocuta, D.; Mooney, P.M.; Oldiges, P.; Ott, J.; Ronsheim, P.; Roy, R.; Steegen, A.; Yang, M.; Zhu, H.; Ieong, M.; Wong, H.–S.P. Solid State Electron. 2003, 47, 1133— 1139. (25) Ieong, M.; Doris, B.; Kedzierski, J.; Rim, K.; Yang, M. Science 2004, 306, 2057—2060. (26) Chaudhry, A.; Roy, J. N.; Joshi, G. J. Semicond. 2010, 31, 104001. (27) Armstrong, G. A.; Maiti, C. K. Solid State Electron. 1998, 42, 487—498. (28) Cheng, H. –W.; Li, Y. NSTI Nanotech. 2010, 2, 721—724. (29) Goldberger, J.; Hochbaum, A. I.; Fan, R.; Yang, P. Nano. Lett. 2006, 6, 973—977. (30) Lee, J. H.; Han, J.-W.; Yu, C. G.; Park, J. T. Microelectron Reliab, 2015, 55, 1456—1459. (31) Katsumata, R.; Kito, M.; Fukuzumi, Y.; Kido, M.; Tanaka, H.; Komori, Y.; Ishiduki, M.; Matsunami, J.; Fujiwara, T.; Nagata, Y.; Zhang, L.; Iwata, Y.; Kirisawa, R.; Aochi, H.; Nitayama, A. VLSI Tech. Symp. 2009, 136—137. (32) Fang, W. W.; Singh, N.; Bera, L. K.; Nguyen, H. S.; Rustagi, S. C.; Lo, G. Q., Balasubramanian, N.; Kwong, D. –L. IEEE Electr. Device L. 2007, 28, 211—213. (33) Dupre', C.; Hubert, A.; Becu, S.; Jublot, M.; Maffini-Alvaro, V.; Vizioz, C.; Aussenac, F.; Arvet, C.; Barnola, S.; Hartmann, J.-M.; Garnier, G.; Allain, F.; Colonna, J.-P.; Rivoire, M.;

ACS Paragon Plus Environment

16

Page 17 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Baud, L.; Pauliac, S.; Loup, V.; Chevolleau, T.; Rivallin, P.; Guillaumot, B.; Ghibaudo, G.; Faynot, O.; Ernst, T.; Deleonibus, S. Tech. Digest IEEE Electron Devices Meet 2008, 1—4.

(34) Bernard, E.; Ernst, T.; Guillaumot, B.; Vulliet, N.; Coronel, P.; Skotnicki, T.; Deleonibus, S.; Faynot, O. IEEE T. Electron Dev. 2009, 56, 1243—1251.

(35) Sacchetto, D.; Ben-Jamaa, M. H.; Micheli, G. D.; Leblebici, Y. ESSDERC. Proceedings of the European 2009, 245—248. (36) Ng, R. M. Y.; Wang, T.; Liu, F.; Zuo, X.; He, J.; Chan, M. IEEE Electr. Device L. 2009, 30, 520—522.

ACS Paragon Plus Environment

17

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 26

Figure Captions Figure 1. Formation of the vertically integrated multi-NW using the ORADEP. (a) Schematic of the ORADEP. The ORADEP realized after the optimization of C4F8-based polymer passivation and SF6-based isotropic dry etching permits the uniform and reproducible formation of vertically integrated multi-NWs, resulting in a simpler and stiction-free process. (b) SEM image of the vertically integrated multi-NW structure formed using the ORADEP. The stiction-free and uniform multi-NW structure supports high reproducibility and low variability of the process. (c) Cross-sectional TEM image along the a-a' direction in Figure 1b. The layers surrounding the SiNW serve as a passivation layer to prevent the physical damage of the device during the focused ion beam (FIB) process for the TEM analysis.

Figure 2. Schematic of the main process and a fabricated device. (a) Schematic of the main fabrication process. The entire process is fully compatible with the silicon-based CMOS process, thereby guaranteeing high completeness of the whole fabrication process. (b) Schematic of the vertically integrated five NW-based FET on a bulk-silicon substrate. Figure 2b includes a crosssectional image along the a-a' (parallel to the gate length) direction and the b-b' (parallel to the NW length) direction of the fabricated device.

Figure 3. TEM image of the fabricated device and results of an analysis of the SiNW. (a) Crosssectional TEM image along the a-a' direction of the fabricated device with thermal oxide for the gate dielectric. The poly-Si surrounding five NWs clearly demonstrates the GAA-based FET with the vertically integrated multi-NW structure. (b) Enlarged image of the SiNW in Figure 3a.

ACS Paragon Plus Environment

18

Page 19 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

The thickness of the thermally grown silicon dioxide (SiO2), which serves as the gate dielectric, is 5 nm. (c) EDS mapping image of the SiNW in Figure 3b. Si and SiO2 are clearly distinguished in Figure 3c via an EDS analysis. (d) High-resolution TEM image of the SiNW. (e) FFT image of the SiNW in Figure 3d. The image of the clear crystalline structure supports the singlecrystallization of the SiNW. (f) Cross-sectional TEM image of the O/N/O gate dielectric-based FET with five vertically integrated five NWs. Five vertically integrated SiNWs with a uniform profile were fabricated with reliable process reproducibility. (g) Enlarged image of the NW with the O/N/O gate dielectric in Figure 3a. The image clearly shows the SONOS configuration for the NVM function, where the thicknesses of the O/N/O are 3 nm, 6 nm, and 8 nm. (h) EDS mapping image of the SiNW with the O/N/O gate dielectric in Figure 3g. Clear classification of each layer is shown in Figure 3h.

Figure 4. I-V characteristics (a) ID-VD characteristic of each FET with single NW and five vertically integrated NWs. LG, WNW, and HNW are a gate length, a nanowire width, and its height, respectively. (b) Comparison of ID at the same operation voltage considering a different threshold voltages of each device. The VM-FET shows that the current drivability is increased by nearly fivefold. (c) ID-VG characteristics of a FET with a single NW and five vertically integrated NWs. Compared to the single NW-based FET, the VM-FET with five channels shows improved performance without significant degradation of any of the other parameters, in this case of the SS and the off-state leakage current. Even for five level stacked multi-NW structure, this result therefore demonstrates good controllability with regard to process variability.

ACS Paragon Plus Environment

19

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 26

Figure 5. Nonvolatile memory effect of a SONOS NW-based FET. (a) Memory window for the programing and erase operation. A high memory window of 5 V was obtained from the device, which is suitable for MLC operations. (b) Program and erase transient characteristics. The y-axis on the left shows the VT shift, i.e., the memory window, as a function of the pulse time during the programing operation, while the y-axis on the right shows this characteristic during the erase operation. That is, VPGM and VERS refer to voltage levels for programming and erasing, respectively. (d) Data retention characteristics. The device exhibits an acceptable memory window even above 108 seconds, indicating its suitability for use in NVM applications. (e) The variation of the memory window as a function of iterative program and erase cycles. Reliable switching endurance without SS degradation was achieved in the device.

ACS Paragon Plus Environment

20

Page 21 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Figure 1. Formation of the vertically integrated multi-NW using the ORADEP. (a) Schematic of the ORADEP. The ORADEP realized after the optimization of C4F8-based polymer passivation and SF6-based isotropic dry etching permits the uniform and reproducible formation of vertically integrated multi-NWs, resulting in a simpler and stiction-free process. (b) SEM image of the vertically integrated multi-NW structure formed using the ORADEP. The stiction-free and uniform multi-NW structure supports high reproducibility and low variability of the process. (c) Cross-sectional TEM image along the a-a' direction in Figure 1b. The layers surrounding the SiNW serve as a passivation layer to prevent the physical damage of the device during the focused ion beam (FIB) process for the TEM analysis. 155x142mm (300 x 300 DPI)

ACS Paragon Plus Environment

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 2. Schematic of the main process and a fabricated device. (a) Schematic of the main fabrication process. The entire process is fully compatible with the silicon-based CMOS process, thereby guaranteeing high completeness of the whole fabrication process. (b) Schematic of the vertically integrated five NW-based FET on a bulk-silicon substrate. Figure 2b includes a cross-sectional image along the a-a' (parallel to the gate length) direction and the b-b' (parallel to the NW length) direction of the fabricated device. 139x109mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 22 of 26

Page 23 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Figure 3. TEM image of the fabricated device and results of an analysis of the SiNW. (a) Cross-sectional TEM image along the a-a' direction of the fabricated device with thermal oxide for the gate dielectric. The poly-Si surrounding five NWs clearly demonstrates the GAA-based FET with the vertically integrated multi-NW structure. (b) Enlarged image of the SiNW in Figure 3a. The thickness of the thermally grown silicon dioxide (SiO2), which serves as the gate dielectric, is 5 nm. (c) EDS mapping image of the SiNW in Figure 3b. Si and SiO2 are clearly distinguished in Figure 3c via an EDS analysis. (d) High-resolution TEM image of the SiNW. (e) FFT image of the SiNW in Figure 3d. The image of the clear crystalline structure supports the single-crystallization of the SiNW. (f) Cross-sectional TEM image of the O/N/O gate dielectric-based FET with five vertically integrated five NWs. Five vertically integrated SiNWs with a uniform profile were fabricated with reliable process reproducibility. (g) Enlarged image of the NW with the O/N/O gate dielectric in Figure 3a. The image clearly shows the SONOS configuration for the NVM function, where the thicknesses of the O/N/O are 3 nm, 6 nm, and 8 nm. (h) EDS mapping image of the SiNW with the O/N/O gate dielectric in Figure 3g. Clear classification of each layer is shown in Figure 3h. 115x78mm (300 x 300 DPI)

ACS Paragon Plus Environment

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 4. I-V characteristics (a) ID-VD characteristic of each FET with single NW and five vertically integrated NWs. LG, WNW, and HNW are a gate length, a nanowire width, and its height, respectively. (b) Comparison of ID at the same operation voltage considering a different threshold voltages of each device. The VM-FET shows that the current drivability is increased by nearly fivefold. (c) ID-VG characteristics of a FET with a single NW and five vertically integrated NWs. Compared to the single NW-based FET, the VM-FET with five channels shows improved performance without significant degradation of any of the other parameters, in this case of the SS and the off-state leakage current. Even for five level stacked multi-NW structure, this result therefore demonstrates good controllability with regard to process variability. 148x124mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 24 of 26

Page 25 of 26

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Figure 5. Nonvolatile memory effect of a SONOS NW-based FET. (a) Memory window for the programing and erase operation. A high memory window of 5 V was obtained from the device, which is suitable for MLC operations. (b) Program and erase transient characteristics. The y-axis on the left shows the VT shift, i.e., the memory window, as a function of the pulse time during the programing operation, while the y-axis on the right shows this characteristic during the erase operation. That is, VPGM and VERS refer to voltage levels for programming and erasing, respectively. (d) Data retention characteristics. The device exhibits an acceptable memory window even above 108 seconds, indicating its suitability for use in NVM applications. (e) The variation of the memory window as a function of iterative program and erase cycles. Reliable switching endurance without SS degradation was achieved in the device. 135x107mm (300 x 300 DPI)

ACS Paragon Plus Environment

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Table of Contents 31x12mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 26 of 26