Area-Selective Atomic Layer Deposition Using Si ... - ACS Publications

Oct 11, 2018 - ... Shimeles Shumi Raya‡ , Hyun Min Hong⊥ , Kwun-Bum Chung⊥ , Erik J. Luber# , Yoon-Seok Kim∇ , Chul-Ho Lee∇ , Woo-Hee Kim*¶...
1 downloads 0 Views 558KB Size
Subscriber access provided by University of Sunderland

Article

Area-selective Atomic Layer Deposition using Si Precursors as Inhibitors Rizwan Khan, Bonggeun Shong, Byeong Guk Ko, Jae Kwang Lee, Hyunsoo Lee, Jeong Young Park, Il-Kwon Oh, Shimelis Shumi Raya, Hyun Min Hong, KwunBum Chung, Erik J. Luber, Chul-Ho Lee, Woo-Hee Kim, and Han-Bo-Ram Lee Chem. Mater., Just Accepted Manuscript • DOI: 10.1021/acs.chemmater.8b02774 • Publication Date (Web): 11 Oct 2018 Downloaded from http://pubs.acs.org on October 12, 2018

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Area-selective Atomic Layer Deposition using Si Precursors as Inhibitors Rizwan Khan1, Bonggeun Shong2*, Byeong Guk Ko1, Jae Kwang Lee1, Hyunsoo Lee3, Jeong Young Park3, Il-Kwon Oh4, Shimelis Shumi Raya2, Hyun Min Hong5, Kwun-Bum Chung5, Erik J. Luber6, Chul-Ho Lee7, Woo-Hee Kim8* and Han-Bo-Ram Lee1* 1

Department of Materials Science and Engineering, Incheon National University, Incheon, 22012, Korea 2

Department of Chemical Engineering, Hongik University, Seoul 04066, Korea

3

Graduate School of EEWS, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea 4

School of Electrical and Electronic Engineering, Yonsei University, Seoul, 03722, Korea

5

Division of Physics Semiconductor, Dongguk University, Seoul, 100-715, Korea

6

Department of Chemistry, University of Alberta, Edmonton, AB T6G 2G2, Canada

7

KU-KIST Graduate School of Converging Science and Technology, Korea University, Seoul 136-701, Korea 8

Division of Advanced Materials Engineering, Chonbuk National University, Jeonbuk 54896, Korea

ACS Paragon Plus Environment

1

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ABSTRACT.

Short-chain

aminosilanes,

namely

Page 2 of 28

bis(N,N-dimethylamino)dimethylsilane

(DMADMS) and (N, N-dimethylamino)trimethylsilane (DMATMS), have been used as Si precursors for atomic layer deposition (ALD) of SiO2. In this work, the DMADMS and DMATMS Si precursors are utilized as inhibitors for area-selective ALD (AS-ALD). The inhibitors selectively adsorb on a SiO2 surface but not on H-Si, so that SiO2 becomes selectively deactivated toward subsequent ALD. The deactivation of the SiO2 surface by the inhibitors was investigated using various experimental and theoretical methods, including surface potential measurements, spectroscopic ellipsometry, and X-ray photoelectron spectroscopy. Better inhibition was observed for ALD of Ru and Pt than for ALD of Al2O3 and HfO2. Through quantum mechanical and stochastic simulations, the difference in the blocking ability for noble metal and metal oxide ALD by the aminosilane inhibitors could be attributed to the inherently partial coverage of the surface by the inhibitors at their saturation and the reactivity of the subsequent ALD precursors. As silane inhibitors can be easily integrated with vacuum-based processes to facilitate high volume manufacturing of upcoming electronic devices, the current study provides a potential approach for the utilization of AS-ALD in pattern fabrication inside 3D nanostructures.

INTRODUCTION

Presently, research on area-selective atomic layer deposition (AS-ALD) is attracting great interest. AS-ALD has been suggested as a simple bottom-up patterning process that maintains the advantages of conventional ALD processes, such as uniformity, excellent conformality, and thickness control. Therefore, there is a wide range of potential applications for

ACS Paragon Plus Environment

2

Page 3 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

AS-ALD, including electronic devices, biological and chemical sensors, microfluidics, optoelectronic devices, and heterogeneous catalysts.1–4 In AS-ALD, the chemical properties of a surface are controlled to activate or deactivate adsorption of the ALD precursors, so that patterns are formed through selective deposition across a domain with the same properties. For instance, while nucleation and growth easily occur on surfaces with reactive groups such as -OH that provide facile adsorption sites for the precursors, deposition can be hindered by surface termination with less reactive groups such as -CH3.5–8 Therefore, selective chemical deactivation of oxide surfaces has been a major challenge in the implementation of AS-ALD. Self-assembled monolayers (SAMs) containing long-chain hydrocarbon moieties have often been used as inhibitors for AS-ALD.7–12 However, uniform SAMs with low defect densities typically require an extremely long formation time of several days under solution. Furthermore, they suffer from structural instability under ALD processing conditions. Although there are some reports on vaporization of SAM for coating, a separate vacuum system is required to prevent undesired contamination and easy removal of SAMs. Polymers can also be used as inhibitors;13– 15

however, polymer coatings can be difficult to remove completely, and their application also

often involves a solution process. Moreover, previous studies on the growth of ALD films on polymer substrates16 have shown that precursor molecules can be trapped between the polymer chains, resulting in undesired nucleation upon subsequent exposure to the reactant.17 Therefore, SAM- or polymer-based AS-ALD is considered incompatible with high volume manufacturing of electronic devices. However, plasma treatment of surfaces to either activate or deactivate ALD has been demonstrated18–20 as an alternative method that is compatible with electronic device fabrication processes. However, the use of plasma can damage the substrate, and the modification of the surface is often anisotropic, which is sometimes not desirable.

ACS Paragon Plus Environment

3

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 28

To overcome the current limitations associated with AS-ALD techniques, inhibitors or activators that require only a short exposure time, are easily vaporized, and form defect-free layers by thermal reaction are required. Thus, precursors developed for ALD processes possess a proven ability for conformal treatment of complex 3D structures. Obviously, ALD precursors can be incorporated into vacuum-based ALD systems when they are to be used as inhibitors for another AS-ALD, since they are intentionally designed for either quick delivery into ALD chambers through vapor transport or thermal adsorption. Furthermore, there have been efforts to regenerate the inhibitor layer during the AS-ALD process to increase selectivity,21,22 and ALD precursor inhibitors are also adaptable for vapor-phase dosing between ALD cycles. On the other hand, the reactivity of ALD precursors differs such that the counter-reactants used in ALD processes are dependent on the deposited material. For example, SiO2 ALD using aminosilanes requires strong oxidants such as O2 plasma or O3, while mild oxidizers such as H2O do not react with the surface-adsorbed Si precursor.23 On the other hand, other oxides such as Al2O3 or HfO2 can be easily deposited using H2O. Here, for the first time, we demonstrate the ability to utilize ALD precursors as selective inhibitors for AS-ALD. As model precursor inhibitors in this study, shorts-chain aminosilanes, Bis-(N,N-dimethylamino)-dimethylsilane (DMADMS) and (N, N-dimethylamino)trimethylsilane (DMATMS), are employed. Following a preceding dose of the silane precursors, ALD of metals (Ru and Pt) and oxides (Al2O3 and HfO2) was carried out to evaluate the ability of the aminosilanes to inhibit ALD growth and to demonstrate selective deposition, as shown schematically in Figure 1. The aminosilane precursors selectively adsorb on SiO2 but not on Hterminated Si, such that the SiO2–region of the substrate can be selectively passivated. Furthermore, the aminosilane precursors have a low reactivity with O2 and H2O, which are often

ACS Paragon Plus Environment

4

Page 5 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

used as counter-reactants for ALD of metals and oxides. It was observed that ALD of Ru and Pt can be blocked better than that of Al2O3 and HfO2. Through theoretical modeling, it was shown that ALD inhibition by Si precursors is influenced by the reactivity of the subsequent ALD precursors and by inherent packing limits of the Si inhibitors. Our study provides a novel method for the design of efficient AS-ALD for pattern fabrication inside 3D nanostructures.

RESULTS AND DISCUSSIONS

1. Adsorption of the Si precursors on SiO2 surface Adsorption of DMADMS and DMATMS on SiO2 and H-terminated Si (H-Si) substrates at 150 °C was examined by various analytical methods, by which we concluded that adsorption of DMADMS and DMATMS can selectively occur on SiO2 but not on H-Si (Figure 2; Figure S1, Supporting Information). Figure 2a shows water contact angles (WCAs) of H-Si and SiO2 as a function of DMADMS exposure time. While the WCA of the hydrophilic SiO2 substrate was 50.9° before exposure to DMADMS, it rapidly increased to 79.3° after 5 s of exposure. Similar values were maintained up to 30 s of exposure, indicating saturation of the SiO2 surface was obtained after just 5 s. In other words, saturation of WCA was found within 5 s of DMADMS exposure on the SiO2 surface, indicating its ability for quick and facile chemical modification of the substrate. Furthermore, the WCA of DMADMS-exposed SiO2 decreased only to 76.4° after 60 days of storage under atmospheric conditions, suggesting excellent long-term chemical stability of the passivated surface (Figure S2, Supporting Information). In contrast, after vacuum treatment at 150 °C without DMADMS exposure, the WCA of SiO2 decreased to ca. 40°. On the other hand, hydrophobic HF-treated Si substrates (WCA = 82.7°) showed little change in WCA

ACS Paragon Plus Environment

5

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 28

upon heating to 150 °C, and the results are nearly independent of the presence of DMADMS. Moreover, for H-terminated polycrystalline Si, DMADMS exposure at temperatures of 70-250 °C did not significantly change the WCA (Figure S1a, Supporting Information). The effects of DMATMS exposure on the WCAs of H-Si and SiO2 are similar to those of DMADMS (Figure S2, Supporting Information). Figure 2b shows changes in adhesion force upon DMADMS adsorption measured by Kelvin probe force microscopy (KPFM). Adhesion forces are measured by interactions between the KPFM tip and the sample surface, so that the adhesion force can be a proxy for the surface reactivity.24 For silicon surfaces, it is known that hydrophilic surfaces exhibit much larger adhesion force than hydrophobic ones.25 Indeed, the H-Si substrate shows small adhesion force values of less than 5 nN with almost no change upon DMADMS exposure. On the other hand, the adhesion force on the SiO2 substrate is significantly decreased after DMADMS exposure of 5 s, indicating decreased hydrophilicity of the SiO2. The contact potential difference of the SiO2 surface also exhibits a rapid change after 5 s of DMADMS exposure (Figure S1b, Supporting Information). On the other hand, AFM and surface potential topographic images of H-Si and SiO2 (Figure S3, Supporting Information) show little roughness with or without DMADMS exposure. Therefore, modification of the surface by DMADMS is uniform, and involves very little change to the topography of the substrate. Adsorption of DMADMS on SiO2 was also observed by spectroscopic ellipsometry (Figure 2c) and XPS (Figure 2d). The thickness of the SiO2 increases upon DMADMS exposure, exhibiting saturation after 5 s of exposure time (Figure 2c). The increment of ca. 0.3 nm is in good agreement with the molecular radius of surface-bound DMADMS (ca. 3.5 Å, see below), indicating formation of a monolayer of DMADMS on the surface. The refractive index of the

ACS Paragon Plus Environment

6

Page 7 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

DMADMS layer on SiO2 is ca. 1.56 at 3.5 eV of photon energy, while the extinction coefficient is at a local maximum (Figure S1c, Supporting information). This result is distinguishable from the refractive index of SiO2, indicating the formation of a separate layer.26 On the other hand, the core-electron peak of SiO2 in the Si 2p region shifts to lower binding energy upon DMADMS exposure (Figure 2d). The chemical bonding states of Si in this region include Si-O2 (103.2 eV), Si-OH (102.4 eV), and Si-C (101.8 eV).27 Deconvolution of the Si 2p spectrum shows that while the pristine SiO2 surface only possesses features of Si-O2 (50.2%) and Si-OH (49.8%), DMADMS-exposed SiO2 exhibits an extra Si-C (29.9%) feature, a Si-OH (20.8%) feature with decreased intensity, and an almost unchanged fraction of Si-O2 (49.3%). Therefore, it can be suggested that DMADMS molecules react with OH groups on the surface, resulting in the formation of Si-CH3 moieties. 2. Subsequent inhibition of ALD Selectivity in various ALD processes following the DMADMS treatment of SiO2 is shown in Figure 3 and Figure S5, Supporting Information. Field emission electron microscopy (FESEM) images of SiO2 with and without DMADMS treatment after 100 ALD cycles of Ru are shown in Figures 3a and 3b. While a continuous film of Ru completely covers the SiO2 surface, no deposition of Ru can be observed with DMADMS modification. In the XPS images (Figure 3c), Ru 3p features are consistently and clearly observable for DMADMS-free SiO2 but are absent on DMADMS/SiO2 after 100 cycles of Ru ALD. Similarly, growth of Pt was hindered for 25 ALD cycles by DMADMS, by which Pt is deposited on a bare SiO2 substrate (Figure 3d). Considering the growth rates of Ru and Pt thin films by ALD, the number of cycles that can be blocked by DMADMS corresponds to ca. 100 Å of Ru and 18 Å of Pt. However, it is noted that growth of Ru and Pt were observed after 100 and 25 ALD cycles, respectively. Therefore, Ru

ACS Paragon Plus Environment

7

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 28

and Pt noble metal ALD on SiO2 is well hindered by DMADMS inhibitor up to a certain number of ALD cycles. Unlike metal ALD, however, DMADMS exhibit little ability for inhibition of oxide ALD. ALD of two representative oxides, HfO2 and Al2O3, was performed on SiO2 and DMADMS-exposed SiO2 (Figure 3e-g). Even after just 1 ALD cycle, the core-level XPS spectra show the presence of Hf and Al on the DMADMS-covered surface (Figure 3e, f). After that, the atomic concentration of Hf and Al on the Si substrates shows little dependence on DMADMS treatment (up to 100 cycles), indicating continuous deposition of these oxide films (Figure 3g,h). Again, the effect of DMATMS surface modification on subsequent ALD is similar to that of DMADMS (Figure S5, supporting information). Meanwhile, it is known that some ALD precursors do not adsorb well on the Hterminated Si surface. Hence, to prove the ability of DMADMS to selectively inhibit ALD on SiO2, we evaluated the ALD growth of the studied thin film materials on the H-Si surface (Figure S6). From the core-level XPS spectra, it is clear that Ru, Pt, Al2O3, and HfO2 were deposited on the H-Si substrate after 100, 25, 5, and 5 ALD cycles, respectively. Therefore, it is suggested that AS-ALD on a patterned H-Si/SiO2 surface after DMADMS modification will selectively deposit Ru and Pt on the H-Si region, but not on the SiO2 region. Theoretical methods were utilized to elucidate fundamental aspects of AS-ALD using Si precursors as inhibitors (Figure 4). First, density functional theory (DFT) calculations prove that the chemical modification of the OH-terminated surface using DMADMS is facile, while its adsorption on H-terminated Si is prohibited (Figure 4a). Reaction of the DMADMS with surface hydroxyl groups leading to CH3-termination of the surface involves small free energy of

ACS Paragon Plus Environment

8

Page 9 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

activation values (∆G‡) of ca. 20 kcal/mol, and a negative free energy of reaction (∆G).28 Therefore, it can be inferred that DMADMS would prefer a dually bound configuration with both amino ligands substituted by surface oxygens (*O2-Si(CH3)2). Monodentate, singly bound DMADMS (*O-Si[N(CH3)2](CH3)2, marked “1 DMA ↑” on Figure 4a) can also be a product structure, if only one isolated hydroxyl is locally available for adsorption. However, adsorption of DMADMS on an H-terminated surface is prevented by a much larger ∆G‡ of ca. 40 kcal/mol and a positive ∆G. Similarly, DMATMS is expected to adsorb only on the SiOx surface but not on an H-Si surface (Figure S7). On the other hand, once the Si precursors become adsorbed on the surface to form a Si-CH3 termination, they become unreactive toward H2O, unlike *Al-CH3, providing passivation of the surface (Figure S8). Although Si precursors can easily adsorb on a SiO2 surface, it is known that finite sized objects cannot fully occupy all surface sites by random irreversible adsorption due to steric hindrance between them29 – a situation that applies well to adsorption of molecular inhibitors on SiO2. Therefore, to better understand the saturation situation of DMADMS, stochastic simulations for the adsorption process of DMADMS were performed (Figure 4b). For simplicity, it was assumed that hydroxyl groups on the substrate are evenly distributed, and that DMADMS adsorbs only in a dual binding configuration. Our results show that DMADMS occupies only ca. 50 % of the hydroxyls at its saturation. The other ca. 50 % of hydroxyls remain unreacted, being either physically blocked by -CH3 groups, or contained in patches of small areas segregated by DMADMS adsorbates (red dots in Figure 4b). The maximum width of these patches of remaining hydroxyls are narrower than the molecular diameter of dually bound DMADMS (ca. 7 Å). In reality, some of these hydroxyls may also accommodate monodentate adsorbates, if there is enough area for such configuration, while some hydroxyls located within smaller inter-

ACS Paragon Plus Environment

9

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 28

adsorbate distances will remain unreacted. It is indeed known from earlier studies that upon saturation of a silica surface with adsorbates such as -Si(CH3)3*, a significant portion of surface hydroxyls remains, even with saturation coverage.30 Therefore, while the simplicity of our stochastic simulations hinders quantitative comparison of the results with experimental data, it is reasonable to extrapolate from the current results that some of the hydroxyl groups remain on the surface upon aminosilane modification, and they exist in small segregated patches. A serial visualization for simulation of DMADMS packing the surface is shown in Movie S9 in the Supporting Information. Comparative analysis of the molecular adsorption behavior of the ALD precursors TMA and MeCpPtMe3 (trimethyl(methylcyclopentadienyl)platinum(IV)) on OH- versus CH3terminated Si surfaces provides further insight into the origin of selectivity in ALD following silane modification (Figures 4c and 4d). For each reaction, the assumed mechanism is hydrogen transfer from a surface moiety to a -CH3 ligand of the precursor, leading to liberation of CH4, accompanied by formation of a direct surface-metal bond.31,32 First, on an OH-terminated surface, ∆G‡ values for TMA and MeCpPtMe3 are 6.9 kcal/mol and 37.9 kcal/mol, respectively; and ∆G values for TMA and MeCpPtMe3 are -49.7 kcal/mol and -5.2 kcal/mol, respectively. Negative ∆G values imply that both TMA and MeCpPtMe3 may spontaneously adsorb on the OH-terminated surface. On the other hand, adsorption of TMA and MeCpPtMe3 on a CH3terminated surface show a significantly increased ∆G‡ (TMA: 38.1 kcal/mol, MeCpPtMe3: 59.2 kcal/mol) corresponding to reduced reactivity of the surface upon silane modification. In particular, ∆G > 0 for MeCpPtMe3/*O2-Si(CH3)2, indicating inability of the CH3-terminated surface to adsorb Pt.

ACS Paragon Plus Environment

10

Page 11 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

According to the experimental results shown above, ALD of Pt or Ru is well blocked by DMADMS modification, but Al2O3 and HfO2 can be deposited regardless of surface termination. Possible reasons for such observation could be the following. First, the Al and Hf precursors used in this study often exhibit inherently high chemical reactivity for adsorption, especially TMA, which is often regarded as one of the ALD precursors whose adsorption is most difficult to inhibit.33 Although silane modification could impede the subsequent adsorption of ALD precursors, the calculated ∆G‡ profile for TMA reacting with a CH3-terminated surface is similar to that of MeCpPtMe3 on an OH-terminated surface, which occurs during ALD. Therefore, the Si-CH3 groups terminating the surface may still allow ALD of alumina or hafnia. However, the inherent chemical reactivity of MeCpPtMe3 is lower than that of TMA, such that higher temperature is required for surface adsorption, and a significant nucleation delay is often observed during ALD.34,35 As a result, the surface covered with Si-CH3 moieties would no longer react with MeCpPtMe3. Secondly and more importantly, as seen above in the stochastic simulation results, a portion of the surface -OH groups remains, even after DMADMS treatment, and these hydroxyls may allow adsorption of ALD precursors. For metal oxide ALD, these adsorbed precursors can lead to growth of the film. However, nucleation of noble metals on oxide surfaces often requires formation of metallic nanoparticles during the nucleation period before continuous growth.36 As the widths of the patchy hydroxyl regions are in the order of less than 1 nm, only a limited number of Pt precursor molecules would be able to adsorb within the same region. As a result, nucleation of Pt or Ru on DMADMS-covered surface would be significantly hampered. It is noted that elucidation of the currently suggested chemical mechanisms for AS-ALD would require in situ experimental investigation under reaction conditions, which is beyond the scope of the current study.

ACS Paragon Plus Environment

11

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 28

3. Area-Selective ALD on Nanostructure Given the success of the AS-ALD process using Si ALD precursors on planar substrates, we further demonstrate the applicability of AS-ALD of Ru on a model nanostructure composed of two-dimensional MoS2 on SiO2 (Figure 5). The FESEM image taken after 100 cycles of Ru ALD following DMADMS exposure reveals that Ru growth occurs selectively on the MoS2 surface but not on the SiO2 regions which were deactivated by DMADMS. Therefore, the current AS-ALD scheme possesses potential to be an excellent method for achieving highly selective thin film deposition only on desired areas. First, heterogeneously nanopatterned substrates such as MoS2/SiO2 can be treated with DMADMS, so that OH-terminated areas (SiO2) can be selectively passivated (Figure 5c). Then, ALD can be selectively applied on parts of the substrate (MoS2) that are not covered with inhibitor (Figure 5c). Therefore, through our process scheme, a laterally-controlled heterojunction of a 2D semiconductor material and an ALD metal layer could be fabricated, which is difficult to achieve using a conventional etching process. We thus foresee that this method will be practically applicable for area-selective growth toward next-generation 3D nanostructures in the near future. CONCLUSIONS In conclusion, we have demonstrated a method for achieving area-selective ALD using Si ALD precursors DMADMS as selective inhibitor. The Si precursor inhibitor can easily be incorporated into the ALD system via vapor phase delivery, and exhibit selective saturated chemisorption on OH-terminated substrates in just 5 s. The Si precursor inhibitors are highly compatible with vacuum fabrication processes. Through various surface analysis methods in conjunction with theoretical simulations, we found that the surface reactivity of SiO2 is

ACS Paragon Plus Environment

12

Page 13 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

decreased by adsorption of the aminosilane inhibitors, and their blocking ability can be limited by the inherent packing limits of the Si inhibitors and the reactivity of the subsequent ALD precursors. Better inhibition was obtained for ALD of noble metals (Ru and Pt) compared to ALD of metal oxides (Al2O3 and HfO2). With the best efficacy in Ru ALD, we successfully demonstrated its excellent area-selective growth on a MoS2/SiO2 nanostructure. Therefore, the present work provides a potential approach for integration of AS-ALD into various practical nanofabrication schemes.

EXPERIMENTAL AND THEORETICAL SECTION

Bis(N,N-dimethylamino)dimethylsilane (DMADMS, [(CH3)2N]2Si(CH3)2, 96%, Aldrich Co.) and (N,N-dimethylamino)trimethylsilane (DMATMS, [(CH3)2N]Si(CH3)3, 97%, Aldrich Co.) were used as the inhibitors. To prepare H-terminated Si prior to the sample loading, Si(100) and polycrystalline Si substrates were cleaned in dilute hydrofluoric acid (HF) at room temperature for 30 s to remove native oxides. SiO2 substrates were sequentially cleaned using acetone, ethanol, and deionized water in an ultrasonic bath for 10 min and then dried with nitrogen gas. DMADMS and DMATMS were deposited on H-terminated Si and SiO2 substrates at 150 °C by vapor dosing (5, 10, 20, and 30 s) followed by Ar purging (10 s). To prepare MoS2/SiO2 samples, bulk layer MoS2 mechanically exfoliated from bulk crystals (Manufacturer: HQ Graphene) was deposited on SiO2 substrate. The same experimental conditions used for DMADMS deposition on H-Si or SiO2 were used for MoS2/SiO2 substrates. If the DMADMS monolayer needs to be removed after AS-ALD, an oxidizing counter-reactant for SiO2 ALD such as O3 can be used to convert the monolayer of DMADMS into SiO2. For Ru ALD, dicarbonyl-bis(5-methyl-2,4-hexanediketonato)Ru(II) (“Carish”, Tanaka,

ACS Paragon Plus Environment

13

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 28

Japan) and O2 were used as the precursor and oxidant, respectively. The temperatures of Carish canister and substrate were maintained at 100 and 283 °C, respectively. One growth cycle of Ru was composed of Carish pulse (6 s), N2 purge (7 s), O2 pulse (5 s), and N2 purge (7 s). For Pt ALD, MeCpPtMe3 and O2 were used as the precursor and oxidant, respectively. One growth cycle of Pt was composed of MeCpPtMe3 pulse (4 s), N2 purge (10 s), O2 pulse (4 s), and N2 purge (10 s). The substrate temperature was 250 °C. For Al2O3 ALD, trimethylaluminum (TMA) and H2O at room temperature were used as the precursor and oxidant, respectively. One growth cycle of Al2O3 was composed of TMA pulse (5 s), N2 purge (15 s), H2O pulse (5 s), and N2 purge (15 s). The substrate temperature was 150 °C. For HfO2 ALD, tetrakis(dimethylamido)hafnium (TDMAH) and H2O were used as the precursor and oxidant, respectively. One growth cycle of HfO2 was composed of TDMAH pulse (6 s), N2 purge (30 s), H2O pulse (3 s), and N2 purge (30 s). The substrate temperature was 200 °C. Under these conditions, the growth per cycle (GPC) of Ru, Pt, HfO2, and Al2O3 ALD were estimated to be about 1.0, 0.7, 1.8, and 1.5 Å/cycle, respectively (Figure S4, supporting information). Water contact angles (WCA) were investigated by the sessile drop technique using a contact angle analyzer (SDL200TEZD, FEMTOFA) with deionized water. Contact angle images were observed by a charge-coupled device video camera and an image analysis system. A water drop of 2 µL was dropped on the sample surface to measure the contact angle. Surface potential images were measured by Kelvin probe force microscopy (KPFM) at ambient conditions (room temperature of 23-24 °C and relative humidity of 20-41%) using a commercial AFM (AFM 5500, Agilent) with a Pt/Ir-coated tip (PPP-EFM, Nanosensor) having a typical force constant (2.8 N/m) and resonance frequency (75 kHz). Contact potential differences (CPD) were simultaneously obtained with the morphological signal of surfaces using two different

ACS Paragon Plus Environment

14

Page 15 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

frequencies, ωelec (10 kHz) and ωmech (75 kHz) for electric modulation and mechanical vibration of the cantilever, respectively. KPFM detects the surface potential of the sample; in the case of a metallic tip and sample with work function Фtip and Фsample, respectively, CPD = (Фtip - Фsample). Ellipsometry analysis was obtained using a RC2 spectroscopic ellipsometer with a wavelength region of 245-1000 nm (1.24-5.06 eV) and an incident angle of 64.885°. A schematic diagram of the model of the SiO2 overlayer and a model of the overlayer using a Tauc-Lorentz function, and comparison between the measured raw data and model prediction for psi, and delta was shown (Figure S1d-i, Supporting information). The morphology of the samples was observed by FESEM (JEOL JSM-7800F, JEOL Ltd.). Chemical analysis was conducted using XPS (PHI 5000 Versa Probe, ULVAC) using a monochromatic Al-Kα X-ray source (detection limit: ∼100 ppm). DFT calculations were performed using the Gaussian 16 program suite37 at the B97D3/def2-SVP level of theory. Surfaces of H-terminated Si and OH-terminated SiOx were represented by Si(100) single-dimer clusters with H- and OH-functional groups, Si9H14 and Si9H12(OH)2, respectively. The surface after modification with an aminosilane inhibitor was modeled with an OH-terminated Si(100) cluster with a DMADMS dually bound by both O atoms of the cluster (Si9H12-O2-Si(CH3)2). The transition states were confirmed after optimization to possess an imaginary vibrational frequency along the reaction coordinate. Rotational and translational partition functions of the species in contact with the model surface clusters were neglected in calculating thermochemical properties at 298 K. Stochastic packing of DMADMS inhibitors on the surface was simulated using a modified algorithm originally developed for simulation of phenylchalcogenides on an H-Si(111) surface,38 in which the steric interactions between the adsorbates were assumed to be 2-dimensional. For simplicity, the -OH groups on the silica surface were assumed to be evenly distributed in a

ACS Paragon Plus Environment

15

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 28

hexagonal pattern with a density of 4.9 nm-2,39 which results in a distance between OH groups of 2.80 Å. This distance coincides with the DFT-calculated O-O distance for the DMADMSadsorbed Si cluster of 2.72 Å. DMADMS was assumed to be dually bound to the surface, losing both of its dimethylamino ligands. The surface-bound DMADMS adsorbates were represented by the union of four hard disks: two small disks representing O occupying two neighboring O atoms of the substrate, and two large disks representing methyl groups of Si(CH3)2. The van der Waals radii of O (1.5 Å) and CH3 groups (2.0 Å) were taken from the literature,40 and a DFTcalculated C-C distance of 1.57 Å, was applied. The adsorbates were assumed to occupy randomly chosen surface sites, if they do not overlap with any other existing adsorbate. If one adsorption configuration was found, the adsorbate was permanently left in that position. Some OH groups cannot accommodate any additional adsorbate due to steric hindrance, and they were marked as remaining OH sites. Adsorption of DMADMS was attempted until all surface sites were occupied or marked, at which point the saturation coverage is determined by the number of the adsorbates.

ASSOCIATED CONTENT Supporting Information Additional data for water contact angle, Contact potential difference, index of reflection, AFM topography, surface potential image, FESEM images, and DFT are in the supporting information. The Supporting Information is available free of charge on the Internet at https://pubs.acs.org.

AUTHOR INFORMATION Corresponding Author

ACS Paragon Plus Environment

16

Page 17 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

*E-mail: [email protected], [email protected], [email protected] Notes The authors declare no competing financial interest. ACKNOWLEDGMENT This research was supported by the MOTIE (Ministry of Trade, Industry & Energy) (10053098 & 10080643) and KSRC (Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device. The supercomputing resources were provided by Korea Institute of Science and Technology Information (KSC-2017-C1-0015). The authors would like to thank K. Nardi and D. Hausmann (Lam Research) for valuable discussion and support.

REFERENCES (1)

Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating,

Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9, 8651−8654. (2)

Kim, H.; Lee, H.-B.-R.; Maeng, W.-J. Applications of Atomic Layer Deposition to

Nanofabrication and Emerging Nanodevices. Thin Solid Films 2009, 517, 2563−2580. (3)

Mackus, A. J. M.; Bol, A. A.; Kessels, W. M. M. The use of Atomic Layer Deposition in

Advanced Nanopatterning. Nanoscale 2014, 6, 10941−10946. (4)

Singh, J. A.; Yang, N.; Bent, S. F. Nanoengineering Heterogeneous Catalysts by Atomic

Layer Deposition. Annu. Rev. Chem. Biomol. Eng. 2017, 8, 41−62.

ACS Paragon Plus Environment

17

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(5)

Page 18 of 28

Kim, H. G.; Lee, H.-B.-R. Atomic Layer Deposition on 2D Materials. Chem. Mater. 2017,

29, 3809–3826. (6)

Au, Y.; Lin, Y.; Kim, H.; Beh, E.; Liu, Y.; Gordon, R. G. Selective Chemical Vapor

Deposition of Manganese Self-aligned Capping Layer for Cu Interconnections in Microelectronics. J. Electrochem. Soc. 2010, 157, D341−D345. (7)

Park, M. H.; Jang, Y. J.; Sung-Suh, H. M.; Sung, M. M. Selective Atomic Layer

Deposition of Titanium Oxide on Patterned Self-Assembled Monolayers Formed by Microcontact Printing. Langmuir 2004, 20, 2257−2260. (8)

Chen, R.; Bent, S. F. Chemistry for Positive Pattern Transfer Using Area-Selective

Atomic Layer Deposition. Adv. Mater. 2006, 18, 1086−1090. (9)

Park, K. J.; Doub, J. M.; Gougousi, T.; Parsons, G. N. Microcontact Patterning of

Ruthenium Gate Electrodes by Selective Area Atomic Layer Deposition. Appl. Phys. Lett. 2005, 86, 051903–3. (10)

Lee, W.; Dasgupta, N. P.; Trejo, O.; Lee J.-R.; Hwang, J.; Usui, T.; Prinz, F. B. Area-

Selective Atomic Layer Deposition of Lead Sulfide: Nanoscale Patterning and DFT Simulations. Langmuir 2010, 26, 6845–6852. (11)

Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F. A New Resist for Area Selective Atomic

and Molecular Layer Deposition on Metal-Dielectric Patterns. J. Phys. Chem. C. 2014, 118, 10957−10962. (12)

Seo, S.; Yeo, B. C.; Han, S. S.; Yoon, C. M.; Yang, J. Y.; Yoon, J.; Yoo, C.; Kim, H.;

Lee, Y.; Lee, S. J.; Myoung, J.-M.; Lee, H.-B.-R.; Kim, W.-H.; Oh, I.-K.; Kim. H. Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al2O3 Nanopatterns. ACS Appl. Mater. Interfaces 2017, 9, 41607−41617.

ACS Paragon Plus Environment

18

Page 19 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(13)

Farm, E.; Kemell, M.; Ritala, M.; Leskela, M. Selective-Area Atomic Layer Deposition

Using Poly(methyl methacrylate) Films as Mask Layers. J. Phys. Chem., 2008, 112, 15791– 15795. (14)

Ellinger, C. R.; Nelson, S. F. Selective Area Spatial Atomic Layer Deposition of ZnO,

Al2O3, and Aluminum-Doped ZnO Using Poly(vinyl pyrrolidone). Chem. Mater. 2014, 26, 1514−1522. (15)

Vervuurt, R. H. J.; Sharma, A.; Jiao, Y.; Kessels, W. E. M. M.; Bol, A. A. Area-Selective

Atomic Layer Deposition of Platinum using Photosensitive Polyimide. Nanotechnology 2016, 27, 405302−6. (16)

Sinha, A.; Hess, D. W.; Henderson, C. L. Transport behavior of Atomic Layer Deposition

Precursors through Polymer Masking Layers: Influence on Area Selective Atomic Layer Deposition. J. Vac. Sci. Technol., B 2007, 25, 1721−1728. (17)

Wilson, C. A.; Grubbs, R. K.; George, S. M. Nucleation and Growth during Al2O3

Atomic Layer Deposition on Polymers. Chem. Mater. 2005, 17, 5625−5634. (18)

Kim, W.-H.; Hashemi, F. S. M.; Mackus, A. J. M.; Singh, J.; Kim, Y.; Bobb-Semple, D.;

Fan, Y.; Kaufman-Osborn, T.; Godet, L.; Bent, S. F. A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation. ACS Nano 2016, 10, 4451−4458. (19)

Mameli, A.; Kuang, Y.; Aghaee, M.; Ande, C. K.; Karasulu, B.; Creatore, M.; Mackus, A.

J. M.; Kessels, W. M. M.; Roozeboom, F. Area-Selective Atomic Layer Deposition of ln2O3:H using a µ-Plasma Printer for Local Area Activation. Chem. Mater. 2017, 29, 921−925. (20)

Stevens, E.; Tomczak, Y.; Chan, B. T.; Altamirano Sanchez, E.; Parsons, G. N.; Delabie,

A. Area-Selective Atomic Layer Deposition of TiN, TiO2, and HfO2 on Silicon Nitride with inhibition on Amorphous Carbon. Chem. Mater. 2018, 30, 3223−3232.

ACS Paragon Plus Environment

19

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(21)

Page 20 of 28

Hashemi, F. S. M.; Bent, S. F. Sequential Regeneration of Self‐Assembled Monolayers

for Highly Selective Atomic Layer Deposition. Adv. Mater. Interfaces 2016, 3, 1600464. (22)

Mameli, A.; Merkx, Marc J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. (Erwin) M.

M.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano. 2017, 11, 9303−9311. (23)

Burton, B. B.; Kang, S. W.; Rhee, S. W.; George, S. M. SiO2 Atomic Layer Deposition

Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy. J. Phys. Chem. C, 2009, 113, 8249–8257. (24)

Lee, H.; Lee, H.-B.-R.; Kwon, S.; Salmeron, M.; Park, J. Y. Internal and External Atomic

Steps in Graphite Exhibit Dramatically Different Physical and Chemical Properties. ACS Nano 2015, 9, 3814–3819. (25)

Houston, M. R.; Howe, R. T.; Maboudian, R. Effect of Hydrogen termination on the

Work of Adhesion between Rough Polycrystalline Silicon Surfaces. J. Appl. Phys. 1997, 81, 3474–3483. (26)

Okada, H.; Kato, M.; Ishimaru, T.; Furukawa, M.; Sekiguchi, H.; Wakahara, A.

Organometallic Chemical Vapor Deposition of Silicon Nitride Films Enhanced by Atomic Nitrogen Generated from Surface-Wave Plasma. AIP Conf. Proc. 2014, 1585, 64–67. (27)

Darmakkolla, S. R.; Tran, H.; Gupta, A; Rananavare, S. B. A Method to Derivatize

Surface Silanol Groups to Si-alkyl Groups in Carbon-doped Silicon Oxides. RSC Adv. 2016, 6, 93219–93230. (28)

Bohm, O.; Leitsmann, R.; Planitz, P.; Radehaus, C.; Schreiber, M.; Schaller, M. k-

Restoring processes at Carbon Depleted Ultralow-k Surfaces. J. Phys. Chem. A. 2011, 115, 8282−8287.

ACS Paragon Plus Environment

20

Page 21 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(29)

Hinrichsen, E. L.; Feder, J.; Jøssang, T. Geometry of Random Sequential Adsorption. J.

Stat. Phys. 1986, 44, 793−827. (30)

Unger, K. K. Surface chemistry of Porous Silica. Ed., in Journal of Chromatography

Library, Elsevier, 1979, 57−146. (31)

Puurunen, R. L. Surface chemistry of Atomic Layer Deposition: A case Study for the

Trimethylaluminum/water process. J. Appl. Phys. 2005, 97, 121301−52. (32)

Elliott, S. D. Mechanism, Products, and Growth rate of Atomic Layer Deposition of

Noble Metals. Langmuir 2010, 26, 9179−9182. (33)

Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.; Martinson, Alex B. F.

Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide. ACS Appl. Mater. Interfaces, 2017, 9, 33429–33436. (34)

Erkens, I. J. M.; Mackus, A. J. M.; Knoops, H. C. M.; Smits, P.; van de Ven, T. H. M.;

Roozeboom, F.; Kessels, W. M. M. Mass Spectrometry Study of the Temperature Dependence of Pt Film Growth by Atomic Layer Deposition. ECS J. Solid State Sci. Technol. 2012, 1, P255– P262. (35)

Lee, H.-B.-R.; Pickrahn, Katie L.; Bent, S. F. Effect of O3 on Growth of Pt by Atomic

Layer Deposition. J. Phys. Chem. C, 2014, 118, 12325–12332. (36)

Hamalainen, J.; Ritala, M.; Leskela, M. Atomic Layer Deposition of Noble Metals and

their Oxides. Chem. Mater. 2014, 26, 786−801. (37)

Frisch, M. J.; Trucks, G. W.; Schlegel, H. B.; Scuseria, G. E.; Robb, M. A.; Cheeseman, J.

R.; Scalmani, G.; Barone, V.; Petersson, G. A.; H. Nakatsuji, et al. Gaussian 16, Gaussian Inc, Wallingford, CT, 2016.

ACS Paragon Plus Environment

21

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(38)

Page 22 of 28

Hu, M.; Hauger, T. C.; Olsen, B. C.; Luber, E. J.; M. B. Jillian. UV-Initiated Si–S, Si–Se,

and Si–Te Bond Formation on Si(111): Coverage, Mechanism, and Electronics. J. Phys. Chem. C. 2018, 122, 13803–13814. (39)

Zhuravlev, L. T. Concentration of Hydroxyl Groups on the Surface of Amorphous Silicas.

Langmuir 1987, 3, 316−318. (40)

Richards, F. M. The Interpretation of Protein Structures: Total Volume, Group Volume

Distributions and Packing Density. J. Mol. Biol. 1974, 82, 1−14.

ACS Paragon Plus Environment

22

Page 23 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 1. Schematic illustration of AS-ALD of metal thin films on SiO2 surface; (a and b) molecular structure of DMADMS and DMATMS; (c and d) Precursor inhibitor selectively adsorbs on SiO2 surface; (e and f) AS-ALD of metal obtained on the part of the surface not covered with the Si precursor inhibitors.

ACS Paragon Plus Environment

23

Chemistry of Materials

(b)

50 Si SiO2

90 40

80 70

Si without DMADMS Si with DMADMS SiO2 without DMADMS SiO2 with DMADMS

60 50

Adhesion (nN)

Contact angle (degree)

(a)

30 20 10

40 0

5

10

15

20

25

0

30

0

5

10

20

30

DMADMS exposure time (sec)

Exposure time (sec)

(c)

(d)

0.6

Si 2p Intensity (a.u.)

Thickness (nm)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 28

0.4

0.2

SiO2-like (O-Si-O)

Si-O

SiO2 Si-C

DMADMS/SiO2

0 0

5

10

15

20

25

30

110

108

DMADMS exposure time (sec)

106

104

102

100

98

96

Binding energy (eV)

Figure 2. (a) WCA as a function of DMADMS exposure time on Si and SiO2; (b) Adhesion force as a function of DMADMS exposure time on Si and SiO2; (c) Thickness versus DMADMS exposure time on SiO2, and (d) Si 2p core-level XPS of SiO2 and DMADMS-exposed SiO2.

ACS Paragon Plus Environment

24

Page 25 of 28

(d)

(c)

(b)

(a)

Intensity (a.u.)

Intensity (a.u.)

Ru ALD on SiO2

Ru ALD on DMADMS/SiO2

Ru ALD (100 cy)

Pt ALD (25 cy)

200 nm

200 nm

85

495 490 485 480 475 470 465 460

80

on SiO2 on DMADMS/SiO2

Al 2p

on SiO2 on DMADMS/SiO2

Intensity (a.u.)

Hf 4f

HfO2 ALD (1 cy)

25

Al2O3 ALD (1 cy)

20

15

Binding Energy (eV)

10

85

80

75

Binding Energy (eV)

70

70

65

(h)

(g) 30 Al2O3 ALD

25 20 15 on SiO2 on DMADMS/SiO2

10 5 0 0

20

40

60

ALD cycles

80

100

120

Hf Atomic concentration (%)

(f)

Al Atomic concentration (%)

(e)

75

Binding Energy (eV)

Binding Energy (eV)

30

on SiO2 on DMADMS/SiO2

Pt 4f

on SiO2 on DMADMS/SiO2

Ru 3p

Intensity (a.u.)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

30 HfO2 ALD

25 20 15 10

on SiO2 on DMADMS/SiO2

5 0 0

20

40

60

80

100

120

ALD cycles

Figure 3. Figure 3. FESEM images of Ru grown on (a) DMADMS/SiO2 and (b) SiO2 with 100 ALD growth cycles; (c) Ru, (d) Pt, (e) Hf, (f) Al XPS core-level spectra on SiO2 and DMADMS/SiO2; (g) Al and (h) Hf atomic percentages on SiO2 and DMADMS/SiO2.

ACS Paragon Plus Environment

25

Chemistry of Materials

Free energy (kcal/mol)

(a)

80 60

*O2-Si(CH3)2 =

(b)

=

DMADMS

40

*Si2-Si(CH3)2

TS1

20

TS2

0 molecular

-20 -40 -60

on OH-surface on H-surface

(1DMA ) *O -Si(CH ) 2 3 2

-80

(d) 80

80

TMA 60 TS(C)

40 20

TS(O)

0 -20

molecular

C-Al*

-40 -60

on OH-surface on CH3-surface

-80

O-Al*

Free energy (kcal/mol)

(c) Free energy (kcal/mol)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 28

60

MeCpPtMe3 TS(C)

40 20 0

TS(O) molecular

-20

C-Pt* O-Pt*

-40 -60

on OH-surface on CH3-surface

-80

Figure 4. (a) DFT-calculated reaction free energy diagram of DMADMS adsorption on H-Si and SiO2 surfaces; (b) Configuration resulting from stochastic packing of DMADMS on surface; reaction free energy diagram of (c) TMA and (d) MeCpPtMe3 on OH-terminated and CH3terminated surface.

ACS Paragon Plus Environment

26

Page 27 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 5. FESEM images of DMADMS/MoS2 on (a) SiO2 and (b) Ru grown on DMADMS/MoS2 on SiO2. Schematic illustration of AS-ALD of metal films on DMADMS/MoS2 on SiO2 surface: (c) Precursor inhibitors selectively adsorb on SiO2 surface, and (d) AS-ALD of metal obtained on part of the MoS2 surface not covered with the DMADMS inhibitors.

ACS Paragon Plus Environment

27

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 28 of 28

Table of Contents Graphic

Area-selective chemisorption of ALD precursor

Passivation for another ALD

ACS Paragon Plus Environment

28