Low-Temperature Atomic Layer Deposition of Low-Resistivity Copper

Jul 13, 2017 - Films deposited at low temperatures of 80 and 90 °C were smooth and consisted of closely packed small particles forming a uniform film...
8 downloads 9 Views 3MB Size
Article pubs.acs.org/cm

Low-Temperature Atomic Layer Deposition of Low-Resistivity Copper Thin Films Using Cu(dmap)2 and Tertiary Butyl Hydrazine Katja Vaÿ rynen,*,† Kenichiro Mizohata,‡ Jyrki Raï san̈ en,‡ Daniel Peeters,§ Anjana Devi,§ Mikko Ritala,† and Markku Leskela†̈ †

Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki, Finland Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki, Finland § Inorganic Materials Chemistry, Ruhr-University Bochum, 44801 Bochum, Germany ‡

S Supporting Information *

ABSTRACT: Herein, we describe a process for the lowtemperature atomic layer deposition of copper using Cu(dmap)2 (dmap = dimethylamino-2-propoxide). The use of tertiary butyl hydrazine (TBH) as the reducing agent was found to have a significant improvement on the purity and the resistivity of the Cu films compared to previous processes. Our process was studied at low temperatures of 80−140 °C on native oxide terminated Si. At 120 °C, self-limiting Cu deposition was demonstrated with respect to both Cu(dmap)2 and TBH pulse lengths. During the initial stages of the deposition (125−1000 cycles), a growth rate of 0.17 Å/cycle was measured. Once the substrate surface was completely covered, deposition proceeded with a more moderate growth rate of 0.05 Å/cycle. According to X-ray diffraction, the films were crystalline cubic Cu with a slight preference toward (111) orientation. Based on scanning electron micrographs, the Cu films were relatively smooth with the roughness increasing as a function of both increasing temperature and thickness. A 54 nm film deposited at the low temperature of 120 °C exhibited a low resistivity of 1.9 μΩ·cm. Composition analysis on this film showed a remarkably high purity of approximately 99.4 at.%, with the rest being hydrogen and oxygen. The films could be deposited also on hydrogen terminated Si, glass, Al2O3, TiN, and Ru, extending the suitability of the process to a wide range of applications.



INTRODUCTION Copper plays an important role in the development of microelectronics as it is the primary interconnect material. In order to keep increasing the performance of electronic devices, feature sizes must be reduced. This can be accomplished by replacing the electrochemical deposition technique, or at least the physical vapor deposition (PVD) step of the Cu seed layer, with more accurate deposition methods.1−3 Owing to its atomic level accuracy and conformality, atomic layer deposition (ALD) has gained an important status in semiconductor manufacturing.4−6 Contrary to its predecessor, chemical vapor deposition (CVD), the ALD method operates by an alternate supply of gaseous precursors that react only at the substrate surface. Each reaction step is saturating, leading to a self-limiting growth mechanism that further enables the deposition of uniform and conformal coatings. A comprehensive review on both Cu ALD and CVD was recently published by Gordon et al.7 To meet the demands set by the microelectronic industry, thin yet continuous Cu films are required, which in turn calls for low deposition temperatures in order to prevent agglomeration. The most common Cu precursors, however, usually require either high reaction temperatures or plasma enhancement. The latter may have a © 2017 American Chemical Society

detrimental effect on the substrate and lead to poor conformal coverage. Issues with existing low-temperature Cu ALD processes limiting their potential applicability include strong substrate sensitivity and possible Zn incorporation. When developing a new ALD process, careful design of the metal precursor has typically been given top priority. Recently, the focus has started to shift from the metal precursor to the reducing agent. One by one, more reactive alternatives for H2 and NH3 have emerged, enabling metal deposition at lower temperatures. The key to successful deposition of Cuand also other metalsmay, in fact, lie in the choice of reducing agent. ALD of Cu has received a lot of attention after the first processes employing CuCl combined with either Zn or H2 were reported in 1997.8,9 Nucleation of the CuCl + H2 process could be improved by an intermediate water pulse.10 All the CuCl processes, however, require deposition temperatures well above 350 °C, and no self-limiting growth was found with Zn as the reducing agent. Ever since CuCl, new classes of Cu precursors Received: May 22, 2017 Revised: July 11, 2017 Published: July 13, 2017 6502

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials

improbable.44 Park et al. combined a Cu(I) diketiminate with diethylsilane at 120 °C to deposit Cu films by ALD. A later study showed, however, the growth mechanism to be pulsed CVD rather than ALD.45,46 An ALD process using Cu(pyrim)2 (pyrim = N-ethyl-2-pyrrolylaldiminate) and Et2Zn at 120−150 °C has also been reported, but the films exhibited high resistivity (89 μΩ·cm), and, again, no self-limiting growth was shown.47 Recently, there has been growing interest toward copper aminoalkoxides as precursors for Cu ALD. Moon et al. were the first to report the use of Cu(dmamb) 2 (dmamb = dimethylamino-2-methyl-2-butoxide) together with H2 plasma giving saturating Cu deposition at 150 °C.48,49 A separate study introduced an alternative route where Cu(dmamb)2 was used with NH3 to deposit ALD Cu3N that was subsequently reduced to metallic Cu with H2 at temperatures above 200 °C.50 Winter and co-workers studied Cu ALD using Cu(dmap)2 (dmap = dimethylamino-2-propoxide) either with formic acid and hydrazine or with borane dimethylamine.51,52 Saturating film growth at low temperatures was observed with both processes. Borane dimethylamine could reduce Cu(dmap)2 to elemental Cu with or without the intermediate formic acid pulse but only on catalyzing metal substrates, such as Ru and Pt. Lee et al. employed Cu(dmap)2 together with Et2Zn at low temperatures of 100−150 °C.53 Although the resistivity of a 50 nm film was relatively low (2.78 μΩ·cm), the risk of Zn incorporation precludes this process from being applied in microelectronics. Hagen et al. deposited Cu using CTA-1, an aminoalkoxide precursor supplied by Adeka, and H 2 plasma. 40 The agglomeration of very thin copper films could be suppressed with a low deposition temperature of 30 °C but only on metal substrates. Self-limiting growth was not verified. Hydrazine and its alkyl derivatives have been scarcely studied as reducing agents for metal ALD. Tertiary butyl hydrazine (TBH) has been recently reported as the reducing agent in silver ALD employing (hfac)Ag(1,5-COD) (COD = cyclooctadiene) as the metal precursor.54 Ag films were deposited within an ALD window of 105−128 °C and at 100 °C at the lowest. The use of TBH resulted in continuous films, whereas propan-1-ol produced nonconductive, particulate Ag coatings. Dimethylhydrazine has been used to reduce tBu-AllylCo(CO)3 to metallic cobalt on hydrogen terminated Si surfaces.55 Knisley et al. reported a three-step process for Cu utilizing Cu(dmap)2, formic acid, and hydrazine.51 Herein, the role of hydrazine was to reduce the copper(II)formate produced by the first two precursors. No deposition was observed without the intermediate formic acid pulse. Apart from these processes, hydrazine and its alkyl derivatives have been employed mainly as reducing nitrogen sources in the ALD of metal nitrides.56−60 In this paper, we introduce tertiary butyl hydrazine (TBH) as a new reducing agent for the ALD of high-quality Cu thin films. By using TBH with Cu(dmap)2, we achieved low deposition temperatures, self-limiting growth, and excellent film uniformity. Deposition was not limited to certain substrates but exhibited varying characteristics depending on the starting surface. Film characterization showed the Cu films to be of high purity and to have low resistivity. On this basis, TBH can be established as a suitable reducing agent for Cu ALD and, more generally, for the ALD of other metals as well.

have emerged at a constant rate in an attempt to enable deposition at low temperatures. A universally applicable process, however, is yet to be developed. The β-diketonates, Cu(thd)2 (thd = 2,2,6,6-tetramethylheptane-3,5-dionate) and Cu(acac)2 (acac = acetylacetonate), have been utilized together with H2 for Cu deposition.11−18 Both processes have been studied with plasma enhancement as well.19−23 Most recently, Cu(acac)2 was combined with H2O and hydroquinone to deposit Cu with a growth rate of 2 Å/ cycle at 210 °C.24 The films exhibited a resistivity of 2−5 μΩ· cm. Cu ALD has also been realized using Cu(hfac)2·xH2O (hfac = hexafluoroacetylacetonate) with either methanol, ethanol, or formaldehyde at 300 °C.25 A resistivity of only 1.78 μΩ·cm was measured from a 120 nm film deposited with formaldehyde; however, no saturation was reported. Without showing any deposition data, Cu ALD was also claimed using Cu(hfac)2·xH2O delivered to the substrate in a stream of H2 that was bubbled through H2O and then reduced with isopropanol or formaldehyde at 260 or 300 °C, respectively.26 Kang et al. combined Cu(hfac)2 with H2 and a pyridine catalyst to deposit Cu at room temperature with a saturated growth rate of 0.19 Å/cycle.27 Due to the low deposition temperature, a significant level of impurities was detected (5−16 at.% C, 4−5 at.% O). Zhong et al. investigated the ALD of sub-10 nm Cu films using Cu(hfac)2 and Et2Zn and determined 8 nm as the critical thickness for continuous Cu films at 190 °C.28 Another family of precursors, the Cu amidinates, were introduced by Gordon and co-workers in 2003.29 Lim et al. reported an ALD process using [Cu(iPr-amd)]2 (iPr-amd = N,N′-diisopropylacetamidinate) and H2 at 280 °C.29 A CVD study later showed, however, that the precursor undergoes selfdecomposition already at 140 °C.30 Guo et al. were able to lower the process temperature down to 50−100 °C with plasma enhancement.31 Due to the suppressed Cu agglomeration at 50 °C, smooth and conformal films with a resistivity of 5.6 μΩ·cm could be deposited. On the other hand, deposition below the evaporation temperature of [Cu(iPr-amd)]2 raises the possibility of condensation. Li et al. employed [Cu(sBuamd)]2 (sBu-amd = N,N′-disecbutylacetamidinate) to deposit Cu with H2 at 185 °C.32,33 Both the growth rate and the morphology of the films varied depending on the substrate material. Other groups have reported corroborating studies on the same system.34−36 Upon combination with NH3 at 160 °C, [Cu(sBu-amd)]2 could also be used to deposit Cu3N that was then reduced to Cu metal by H2 and heating (160−225 °C).37 Coyle et al. introduced a carbene-stabilized Cu(I) silylamide precursor for ALD and deposited Cu with H2 plasma at 225 °C.38 Hagen and co-workers employed the same precursor together with H2 to deposit Cu by thermal ALD on Ru and Pd at 170−320 °C.39 Hagen et al. also studied plasma-enhanced ALD using a Cu(II) ketoiminate precursor (Abacus) supplied by Air Liquide.40 Mao et al. had previously reported a saturated growth rate of 0.3 Å/cycle at room temperature for the same process.41 Park et al. deposited Cu on Ru by combining Abacus with H2 in thermal ALD but provided no deposition data.42 Moon and co-workers developed a Cu ALD process using Cu(hfac)(vtmos) (vtmos = vinyltrimethoxysilane) and H2 plasma.43 A 40 nm film exhibited a low resistivity of 2 μΩ· cm; however, along with plasma enhancement, quite a high temperature of 200 °C was applied. Cu ALD has also been claimed using Cu(hfac)(DMB) (DMB = tertiary butene) and H2, but no ALD characteristics were shown, and due to the high growth rate of 8 Å/cycle, self-limiting growth is



EXPERIMENTAL SECTION

Film Deposition. All film depositions were carried out in a hotwall flow-type F-120 ALD reactor (ASM Microchemistry). Nitrogen 6503

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials (N2, AGA, 99.999%) was utilized as the carrier gas and for inert gas purging. The pressure in the reaction chamber during depositions was ∼10 mbar. Cu(dmap)2 was synthesized at Ruhr-University Bochum following the procedure reported in the literature.61 TBH was acquired from EpiValence. Cu(dmap)2 was evaporated from an open glass boat held inside the reactor at a temperature of 65 °C. TBH was delivered into the reactor by vacuum draw from an external source maintained at 15 °C. The pulse lengths, controlled by inert gas valving, were varied from 0.2 to 2.0 s. The purge time following both precursor pulses was typically 1.0 s. The process was studied between temperatures of 80 and 140 °C. Most of the films were deposited on 5 × 5 cm2 native oxide terminated Si and soda lime glass substrates. Additionally, a patterned Si substrate was used for conformality studies and hydrogen terminated Si, ex situ deposited Al2O3, Ru, and TiN films for adhesion tests. Film Characterization. Film thicknesses were measured by energy-dispersive X-ray spectrometry (EDS) using an Oxford INCA 350 connected to a Hitachi scanning electron microscope (SEM). The thicknesses were measured on native oxide terminated Si using an electron voltage of 20 kV and calculated from Cu Kα X-ray lines with the GMRFILM program assuming Cu bulk density (8.96 g/cm3). The thicknesses were verified to be the same also on soda lime glass substrates. An uncertainty of 5% was estimated for the measurements due to deviation from the bulk density that is evident especially for the thin, noncontinuous films. The density of selected films was assessed by X-ray reflectivity (XRR) using a PANalytical X’Pert Pro MPD X-ray diffractometer. The same instrument was also utilized to identify the crystal structure of the films deposited on Si with native oxide. The XRD measurements were performed using grazing incidence geometry with the Cu Kα (λ = 1.54 Å) radiation having an incident angle of 1°. The preferred orientation of the films was investigated with θ−2θ geometry. Analysis of the diffractograms was carried out using the PANalytical Highscore Plus 4.1 software. SEM imaging was used to evaluate the morphology and conformality of films deposited on native oxide terminated Si. Resistivities were determined from the films deposited on soda lime glass substrates using a four-point probe (CPS Probe Station, Cascade Microtech combined with a Keithley 2400 SourceMeter). The sheet resistance was measured as an average from 3−5 points across the substrate vertical to the precursor flow. The average sheet resistance was then multiplied by film thickness to obtain the resistivity. The films deposited on native oxide terminated Si had similar resistivity values as the ones deposited on soda lime glass. Composition analysis was carried out by time-of-flight elastic recoil detection analysis (ToFERDA). The measurement was done with a 40 MeV 79Br7+ ion beam. The incident angle of the beam with respect to the sample was 16°, and the angle between the surface and the detector was 24°.

Figure 1. Cu growth rate on Si with native oxide as a function of deposition temperature. The depositions comprised 1500 cycles. The pulse lengths were 1.0 and 0.2 s for Cu(dmap)2 and TBH, respectively, followed by 1.0 s purges.

Figure 2. Cu film thickness on native oxide terminated Si as a function of cycle count at 120 °C. Each cycle comprised a 1.0 s Cu(dmap)2 pulse, 1.0 s purge, 0.2 s TBH pulse, and 1.0 s purge.

growth rate was approximately 0.17 Å/cycle. After 1000 cycles, a continuous Cu film had formed and the initial substrate surface was fully covered. As a result, the surface area and also the number of adsorption sites was decreased. Consequently, the film thickness started to increase more gradually, and the growth rate was measured to be ∼0.05 Å/cycle. Li et al. observed a similar trend when depositing Cu from [Cu(sBuamd)]2 and H2.33 Saturation of the growth process was studied with respect to both precursors. Figure 3 depicts the growth rate as a function of Cu(dmap)2 pulse length at 120 °C. When the pulse length exceeded 1.0 s, a saturated growth rate of ∼0.15 Å/cycle was measured. With shorter pulses of 0.2 and 0.5 s, a somewhat higher growth rate of 0.18 Å/cycle was observed. Accordingly, the density of the films varied depending on the pulse length; the densities of the films deposited with 0.2 and 1.0 s pulses were 8.5 and 8.7 g/cm3, respectively. With the shorter pulses the dose was most likely inadequate to form a saturated layer of chemisorbed precursor molecules. Therefore, the films were deposited as islands providing a higher surface area and more adsorption sites ultimately resulting in a higher apparent growth rate. The higher growth rate concurs with the two growth regimes observed in Figure 2; the thickness increased faster until a continuous Cu film was formed. For consistency, implementation of the entire saturation series involved 1.0 s purges. The purge time was verified to be sufficient by carrying out a deposition comprising 2.0 s Cu(dmap)2 pulses followed



RESULTS AND DISCUSSION Film Deposition. The growth rate of the Cu process was investigated within a temperature range of 80−140 °C. The growth rate increased steadily as the deposition temperature was increased (Figure 1). Above 130 °C, the growth rate started to increase more rapidly due to the slight decomposition of Cu(dmap)2 that was visibly detected as Cu deposition at the hot end of the Cu(dmap)2 source tube. At 120 °C, no deposition was observed in the Cu(dmap)2 source tube; thus, no precursor decomposition occurred at this temperature. Since previous ALD studies using Cu(dmap)2 have shown growth rates independent of temperature,51−53 the temperature dependence observed here is most likely due to TBH. In a typical ALD process, film thickness increases linearly as a function of increasing cycle count. Interestingly, for the Cu(dmap)2 + TBH process, two linear regimes were detected instead of one as illustrated in Figure 2. During the initial stages of deposition at 120 °C, that is, from 125 to 1000 cycles, the 6504

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials

Figure 5. Uniformity of a Cu film deposited on Si with native oxide at 120 °C. The deposition comprised 1500 cycles with a pulsing sequence of 1.0 s Cu(dmap)2 pulse and 1.0 s purge followed by 0.2 s TBH pulse and 1.0 s purge.

Figure 3. Cu growth rate on Si with native oxide as a function of Cu(dmap)2 pulse length at 120 °C. The cycle count was 1500, the TBH pulse length was maintained at 0.2 s, and both precursor pulses were followed by 1.0 s purges.

by 0.5 s purges. The shorter purge had no effect on the film thickness; thus, the 1.0 s purges were adequate for these experiments. The growth rate with respect to TBH pulse length is illustrated in Figure 4. Saturation within the 5% thickness

Figure 4. Cu growth rate on Si with native oxide as a function of TBH pulse length at 120 °C. The cycle count was 1500, the Cu(dmap)2 pulse length was maintained at 1.0 s, and 1.0 s purges were applied after both precursor pulses.

measurement error limits was observed. The minor increase with 0.6 s pulses was most likely a result of increased film roughness (Figure S1 in the Supporting Information) Film uniformity across the 5 × 5 cm2 native oxide terminated Si substrate was evaluated in the flow direction from the inlet edge toward the edge next to the exhaust. As depicted in Figure 5, excellent uniformity was achieved. Films deposited with the flow-type F-120 ALD reactor often exhibit a minor profile within the first centimeter from the inlet edge. Also in this case, a slightly higher film thickness was measured at the 1 cm distance as could be expected. Film Characterization. Figure 6a depicts X-ray diffractograms of Cu films deposited within the studied temperature range of 80−140 °C. All the films exhibited reflections at 44 and 51° corresponding to the cubic phase of Cu. Intensity of the observed reflections increased with both increasing temperature and film thickness. A slight preference for the (111) orientation was confirmed by the θ−2θ configuration (Figure 6b).

Figure 6. (a) Grazing incidence X-ray diffractograms of Cu films deposited on native oxide terminated Si at 80−140 °C. The depositions comprised 1500 cycles. (b) θ−2θ X-ray diffractogram of a 54 nm film deposited on glass at 120 °C. The table compares the relative reflection intensities measured for different crystal planes to the reference diffraction pattern. The pulsing sequence in both (a) and (b) was a 1.0 s Cu(dmap)2 pulse and 1.0 s purge followed by 0.2 s TBH pulse and 1.0 s purge.

Morphology of the Cu films deposited on Si with native oxide was studied by SEM imaging. Figure 7 illustrates the effect of the deposition temperature on the structure of the resulting film. Films deposited at low temperatures of 80 and 90 °C were smooth and consisted of closely packed small particles forming a uniform film almost free of voids. At the inlet edge of the substrate, however, these films were particulate and nonconductive. It is quite common to ALD processes that the film growth is somewhat different next to the point where 6505

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials

Figure 7. SEM images of Cu films deposited on Si with native oxide at different temperatures. Each deposition was 1500 cycles consisting of 1.0 s Cu(dmap)2, 1.0 s purge, and 0.2 s TBH followed by 1.0 s purge.

Figure 8. Cu films deposited on Si with native oxide with different cycle counts at 120 °C. The pulsing sequence was 1.0 and 0.2 s Cu(dmap)2 and TBH, respectively, separated by 1.0 s purges.

Figure 8 shows nucleation of the Cu films deposited on native oxide terminated Si at 120 °C. The film deposited with only 125 cycles consisted of separate, spherical particles. Increasing the cycle count to 250 resulted in the formation of larger agglomerates. A partly continuous network was observed after 375 cycles. After 500 cycles, a continuous and conductive film was deposited. As the cycle count was increased, the extent of voids diminished, until a practically uniform film was deposited with 1500 cycles. Increasing the number of cycles even further to 2000 resulted in roughening of the film. Figure 9 depicts SEM images of films deposited with different Cu(dmap)2 pulse lengths at 120 °C with 1500 cycles. Short pulses of 0.2 and 0.5 s resulted in low-density films with many holes. The film deposited with 1.0 s Cu(dmap)2 pulses was relatively smooth and almost free of voids. As the Cu(dmap)2 pulse length was increased even further, cracks along grain boundaries started to appear, and the films exhibited increased roughness. Increasing the TBH pulse length had no significant effect on the morphology (Figure S1 in the Supporting Information). A longer cycle duration resulted in slight roughening, as the atoms had more time to diffuse before the next precursor pulse.

the growth starts, that is, where the two precursor flows meet, which in the F-120 cross-flow ALD reactor is only a few millimeters upstream of the inlet edge of the substrate. There can be various reasons for this inlet effect, perhaps most notably a smaller exposure to byproducts at this area compared to those further downstream.62,63 The readsorption of byproducts, protonated ligands in particular, will modify the surface chemistry and may cause inlet effects because of the nonuniform exposure to the byproducts across the substrate. The inlet effects could therefore be avoided with a different reactor design where the substrate is taken further away from the point where film growth begins. The film deposited at 100 °C exhibited a higher number of voids due to increased agglomeration. At each temperature, a continuous film was obtained once separate islands coalesced. At 80 and 90 °C, agglomeration was minimized and continuous films were formed at a critical thickness of about 10 nm achieved with approximately 1000 cycles. At higher temperatures, more cycles were required for the formation of a fully continuous film. The films exhibited increasing roughness with increasing temperature and the consequently increasing film thickness. 6506

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials

Figure 11. ToF-ERDA depth profile of a 54 nm Cu film deposited with 6000 cycles at 120 °C. Figure 9. SEM images of Cu films deposited on native oxide terminated Si with varying Cu(dmap)2 pulse lengths followed by 1.0 s purge at 120 °C. The cycle count, TBH pulse length, and consecutive purge were 1500, 0.2, and 1.0 s, respectively.

lowest, a resistivity of 1.9 μΩ·cm was measured from a 54 nm film deposited at 120 °C. This is one of the lowest resistivities reported for a thermal Cu ALD process. Solanki et al. reported a low resistivity of 1.78 μΩ·cm for a 120 nm film deposited using Cu(hfac)2·xH2O and formaldehyde but provided no evidence of a true ALD process.25 Figure 12a illustrates how resistivity decreased with increasing deposition temperature. However, it is difficult to say whether the lower film resistivity was merely a result of the film thickness increasing with the deposition temperature. At least, a similar trend occurred when film resistivity was evaluated as a function of cycle count (Figure 12b). Resistivity decreased as the film thickness increased upon increasing cycle count, until reaching a thickness of 23 nm, above which the decrease was more moderate. Continuous, conductive Cu films at 120 °C required a minimum of 500 cycles. From the films deposited at 80 and 90 °C, resistivity was measured as an average of three measurement points, whereas the other values were an average of five points. As shown in the SEM images, the inlet edge of the films deposited at the lowest temperatures was particulate and exhibited poor, if any, conductivity. The anomaly at 100 °C in Figure 12a can be explained by increased agglomeration at 100 °C resulting in a higher number of voids in the films not yet thick enough to form a fully continuous layer. Figure 12c−d illustrates film resistivity as a function of Cu(dmap)2 and TBH pulse lengths at 120 °C. Because of the notable degree of holes, the Cu film deposited with 0.2 s Cu(dmap)2 pulses exhibited a somewhat higher resistivity than the rest of the films. Otherwise, the effect of the Cu(dmap)2 pulse length on film resistivity was negligible. Increasing the TBH pulse length had no significant impact on resistivity either. The miniscule differences in resistivity possibly arose from the slight variation in film thickness rather than the reaction time or the amount of precursor delivered to the substrate. Film adhesion was studied on several substrates including native oxide terminated Si, soda lime glass, ex situ deposited Al2O3, hydrogen terminated Si, and TiN, as well as Ru films. The films were deposited at 120 °C using 1000 cycles comprising 1.0 s Cu(dmap)2 and 0.2 s TBH pulses separated by 1.0 s purges. Because of the low growth rate of the Cu process on TiN and Ru, deposition on these surfaces was carried out with greater cycle counts of 2000 and 3000, respectively. The Cu film thicknesses were 21, 19, 20, 21, 22, and 17 nm on Si with native oxide, soda lime glass, Al2O3, Si−H, TiN, and Ru, respectively. SEM images of the films deposited on the different substrates are depicted in Figure S2 in the Supporting

The SEM image in Figure 10 shows conformal coverage of a patterned native oxide terminated Si substrate using the Cu

Figure 10. SEM image of Cu deposited on patterned Si with native oxide at 120 °C.

process under investigation. The film was deposited with 1500 cycles at 120 °C. Equal film thickness was obtained both on the top surface of the substrate and at the bottom of the trench structure. Composition analysis was carried out by ToF-ERDA on a 54 nm Cu film deposited with 6000 cycles at 120 °C. The elemental depth profile is depicted in Figure 11. The film was slightly oxidized from the surface but otherwise very pure. At a depth of 10−30 nm, the Cu content was approximately 99.4 at.%, with the rest being hydrogen and oxygen. Based on these results, it can be deduced that TBH is well suitable for the reduction of Cu(dmap)2, and the removal of ligands is fast and complete. Resistivity of the Cu films deposited on soda lime glass was evaluated as a function of deposition temperature, cycle count, and precursor pulse lengths (Figure 12). In accordance with the high purity of the films, the resistivity values were, in general, low, compared to, for example, sputtered Cu films of 20 nm thickness that typically exhibit a resistivity of 3−6 μΩ·cm.64 The resistivity of bulk Cu at 25 °C is 1.712 μΩ·cm.65 At the 6507

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials

Figure 12. Film resistivity on soda lime glass substrates as a function of (a) substrate temperature, (b) number of cycles, (c) Cu(dmap)2 pulse length, and (d) TBH pulse length.

Information. The films deposited on Si−H, TiN, and Ru passed the Scotch tape test. However, these films exhibited more voids than the rest of the films. The more continuous the film is, the stronger the cohesive forces between the Cu atoms are. Thus, there appears to be a trade-off between adhesion and continuity of the films.





AUTHOR INFORMATION

Corresponding Author

*E-mail: katja.vayrynen@helsinki.fi. Phone: +358-407157402.

CONCLUSIONS In this work, we have combined Cu(dmap)2 with tertiary butyl hydrazine to deposit high-quality copper thin films by lowtemperature ALD. Issues related to existing thermal Cu ALD processes, such as high deposition temperature, Zn incorporation, and substrate sensitivity, could all be circumvented. The fast and complete reactions between Cu(dmap)2 and TBH resulted in the deposition of Cu films of high purity and low resistivity. A saturated growth rate of ∼0.15 Å/cycle with respect to both precursors was achieved at 120 °C. At 80 °C, a critical thickness of 10 nm was determined for the deposition of fully continuous Cu films. Owing to the low deposition temperatures, compatibility with various substrates, and high purity of the films, it can be deduced that this process shows high potential to be integrated into various applications. This process, and the choice of reducing agent in particular, is an important step forward in the development of future microelectronics.



SEM images of the films deposited with varying TBH pulse durations and on different substrates at 120 °C (PDF)

ORCID

Katja Väyrynen: 0000-0002-8886-6997 Anjana Devi: 0000-0003-2142-8105 Author Contributions

All authors have given approval to the final version of the manuscript. Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS Funding from ASM Microchemistry Oy, Finnish Centre of Excellence in Atomic Layer Deposition, and the DFG-SFB-TR87 is gratefully acknowledged. Mr. Mikko Heikkilä is thanked for helping with XRD measuring and Mr. Leo Salmi for assistance with SEM imaging of the trench sample.



ASSOCIATED CONTENT

REFERENCES

(1) Andricacos, P. C.; Uzoh, C.; Dukovic, J. O.; Horkans, J.; Deligianni, H. Damascene Copper Electroplating for Chip Interconnections. IBM J. Res. Dev. 1998, 42, 567−574. (2) Kim, H. Atomic Layer Deposition of Metal and Nitride Thin Films: Current Research Efforts and Applications for Semiconductor

S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acs.chemmater.7b02098. 6508

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials Device Processing. J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 2003, 21, 2231−2261. (3) Kim, H. The Application of Atomic Layer Deposition for Metallization of 65 nm and Beyond. Surf. Coat. Technol. 2006, 200, 3104−3111. (4) Ritala, M.; Niinistö, J. Atomic Layer Deposition. In Chemical Vapour Deposition: Precursors, Processes and Applications; Jones, A. C., Hitchman, M. L., Eds.; Royal Society of Chemistry: Cambridge, U.K., 2009; Chapter 4, pp 158−206. (5) George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110, 111−131. (6) Leskelä, M.; Niinistö, J.; Ritala, M. Atomic Layer Deposition. Compr. Mater. Process 2014, 4, 101−123. (7) Gordon, P. G.; Kurek, A.; Barry, S. T. Trends in Copper Precursor Development for CVD and ALD Applications. ECS J. Solid State Sci. Technol. 2015, 4, N3188−N3197. (8) Juppo, M.; Ritala, M.; Leskelä, M. Deposition of Copper Thin Films by an Alternate Supply of CuCl and Zn. J. Vac. Sci. Technol., A 1997, 15, 2330−2333. (9) Mårtensson, P.; Carlsson, J.-O. Atomic Layer Epitaxy of Copper on Tantalum. Chem. Vap. Deposition 1997, 3, 45−50. (10) Törndahl, T.; Ottosson, M.; Carlsson, J.-O. Growth of Copper Metal by Atomic Layer Deposition Using Copper(I) Chloride, Water and Hydrogen as Precursors. Thin Solid Films 2004, 458, 129−136. (11) Mårtensson, P.; Carlsson, J.-O. Atomic Layer Epitaxy of Copper - Growth and Selectivity in the Cu(II)-2,2,6,6-tetramethyl-3,5heptanedionate/H-2 Process. J. Electrochem. Soc. 1998, 145, 2926− 2931. (12) Utriainen, M.; Krö ger-Laukkanen, M.; Johansson, L.-S.; Niinistö, L. Studies of Metallic Thin Film Growth in an Atomic Layer Epitaxy Reactor Using M(acac)2 (M = Ni, Cu, Pt) Precursors. Appl. Surf. Sci. 2000, 157, 151−158. (13) Mane, A. U.; Shivashankar, S. A. Atomic Layer Chemical Vapour Deposition of Copper. Mater. Sci. Semicond. Process. 2004, 7, 343−347. (14) Mane, A. U.; Shivashankar, S. A. Growth of (1 1 1)-Textured Copper Thin Films by Atomic Layer Deposition. J. Cryst. Growth 2005, 275, e1253−e1257. (15) Gupta, R.; Willis, B. G. Nanometer Spaced Electrodes Using Selective Area Atomic Layer Deposition. Appl. Phys. Lett. 2007, 90, 253102. (16) Hsu, I. J.; McCandless, B. E.; Weiland, C.; Willis, B. G. Characterization of ALD Copper Thin Films on Palladium Seed Layers. J. Vac. Sci. Technol., A 2009, 27, 660−667. (17) Willis, B. G.; Qi, J.; Jiang, X.; Chen, J.; Weisel, G. J.; Zimmerman, D. T. Selective-Area Atomic Layer Deposition of Copper Nanostructures for Direct Electro-Optical Solar Energy Conversion. ECS Trans. 2014, 64, 253−263. (18) Jiang, X.; Wang, H.; Qi, J.; Willis, B. G. In-Situ Spectroscopic Ellipsometry Study of Copper Selective-Area Atomic Layer Deposition on Palladium. J. Vac. Sci. Technol., A 2014, 32, 041513. (19) Jezewski, C.; Lanford, W. A.; Wiegand, C. J.; Singh, J. P.; Wang, P.-I.; Senkevich, J. J.; Lu, T.-M. Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces. J. Electrochem. Soc. 2005, 152, C60−C64. (20) Niskanen, A.; Rahtu, A.; Sajavaara, T.; Arstila, K.; Ritala, M.; Leskelä, M. Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films. J. Electrochem. Soc. 2005, 152, G25−G28. (21) Wu, L.; Eisenbraun, E. Hydrogen Plasma-Enhanced Atomic Layer Deposition of Copper Thin Films. J. Vac. Sci. Technol. B 2007, 25, 2581−2585. (22) Wu, L.; Eisenbraun, E. Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper. Electrochem. Solid-State Lett. 2008, 11, H107−H110. (23) Wu, L.; Eisenbraun, E. Integration of Atomic Layer DepositionGrown Copper Seed Layers for Cu Electroplating Applications. J. Electrochem. Soc. 2009, 156, H734−H739. (24) Tripathi, T. S.; Karppinen, M. Efficient Process for Direct ALD of Metallic Cu Thin Films Based on an Organic Reductant. Chem. Mater. 2017, 29, 1230.

(25) Solanki, R.; Pathangey, B. Atomic Layer Deposition of Copper Seed Layers. Electrochem. Solid-State Lett. 2000, 3, 479−480. (26) Huo, J.; Solanki, R.; McAndrew, J. Characteristics of Copper Films Produced via Atomic Layer Deposition. J. Mater. Res. 2002, 17, 2394−2398. (27) Kang, S.-W.; Yun, J.-Y.; Chang, Y.-H. Growth of Cu Metal Films at Room Temperature Using Catalyzed Reactions. Chem. Mater. 2010, 22, 1607−1609. (28) Zhong, Z.; Wang, X.; Ding, J.; Yuan, N. Nanometer-Thick Copper Films Grown by Thermal Atomic Layer Deposition. Thin Solid Films 2015, 589, 673−680. (29) Lim, B. S.; Rahtu, A.; Gordon, R. G. Atomic Layer Deposition of Transition Metals. Nat. Mater. 2003, 2, 749−754. (30) Turgambaeva, A.; Prud’homme, N.; Krisyuk, V.; Vahlas, C. Decomposition Schemes of Copper(I) N,N′-Diisopropylacetamidinate During Chemical Vapor Deposition of Copper. J. Nanosci. Nanotechnol. 2011, 11, 8198−8201. (31) Guo, Z.; Li, H.; Chen, Q.; Sang, L.; Yang, L.; Liu, Z.; Wang, X. Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma. Chem. Mater. 2015, 27, 5988−5996. (32) Li, Z.; Gordon, R. G.; Farmer, D. B.; Lin, Y.; Vlassak, J. Nucleation and Adhesion of ALD Copper on Cobalt Adhesion Layers and Tungsten Nitride Diffusion Barriers. Electrochem. Solid-State Lett. 2005, 8, G182−G185. (33) Li, Z.; Rahtu, A.; Gordon, R. G. Atomic Layer Deposition of Ultrathin Copper Metal Films from a Liquid Copper(I) Amidinate Precursor. J. Electrochem. Soc. 2006, 153, C787−C794. (34) Kucheyev, S. O.; Biener, J.; Baumann, T. F.; Wang, Y. M.; Hamza, A. V.; Li, Z.; Lee, D. K.; Gordon, R. G. Mechanisms of Atomic Layer Deposition on Substrates with Ultrahigh Aspect Ratios. Langmuir 2008, 24, 943−948. (35) Seitz, O.; Dai, M.; Aguirre-Tostado, F. S.; Wallace, R. M.; Chabal, Y. J. Copper−Metal Deposition on Self Assembled Monolayer for Making Top Contacts in Molecular Electronic Devices. J. Am. Chem. Soc. 2009, 131, 18159−18167. (36) Dai, M.; Kwon, J.; Halls, M. D.; Gordon, R. G.; Chabal, Y. J. Surface and Interface Processes during Atomic Layer Deposition of Copper on Silicon Oxide. Langmuir 2010, 26, 3911−3917. (37) Li, Z.; Gordon, R. G. Thin, Continuous, and Conformal Copper Films by Reduction of Atomic Layer Deposited Copper Nitride. Chem. Vap. Deposition 2006, 12, 435−441. (38) Coyle, J. P.; Dey, G.; Sirianni, E. R.; Kemell, M. L.; Yap, G. P. A.; Ritala, M.; Leskelä, M.; Elliott, S. D.; Barry, S. T. Deposition of Copper by Plasma-Enhanced Atomic Layer Deposition Using a Novel N-Heterocyclic Carbene Precursor. Chem. Mater. 2013, 25, 1132− 1138. (39) Hagen, D. J.; Povey, I. M.; Rushworth, S.; Wrench, J. S.; Keeney, L.; Schmidt, M.; Petkov, N.; Barry, S. T.; Coyle, J. P.; Pemble, M. E. Atomic Layer Deposition of Cu with a Carbene-Stabilized Cu(I) Silylamide. J. Mater. Chem. C 2014, 2, 9205−9214. (40) Hagen, D. J.; Connolly, J.; Nagle, R.; Povey, I. M.; Rushworth, S.; Carolan, P.; Ma, P.; Pemble, M. E. Plasma Enhanced Atomic Layer Deposition of Copper: A Comparison of Precursors. Surf. Coat. Technol. 2013, 230, 3−12. (41) Mao, J.; Eisenbraun, E.; Omarjee, V.; Korolev, A.; Dussarrat, C. Scaling of Copper Seed Layer Thickness Using Plasma-Enhanced ALD and Optimized Precursors. IEEE Trans. Semicond. Manuf. 2013, 26, 17−22. (42) Park, K.-M.; Kim, J.-K.; Han, B.; Lee, W.-J.; Kim, J.; Shin, H.-K. Influence of the Deposition Temperature on the Properties of Copper Thin Films Prepared by Alternating Injection of Cu(ethylketoiminate)2 and H2 on a Ruthenium Substrate. Microelectron. Eng. 2012, 89, 27−30. (43) Moon, D.-Y.; Kim, W.-S.; Kim, T.-S.; Kang, B.-W.; Park, J.-W.; Yeom, S. J.; Kim, J. H. Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor. J. Korean Phys. Soc. 2009, 54, 1330−1333. 6509

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510

Article

Chemistry of Materials (44) Yoon, H.-C.; Shin, J.-H.; Park, H.-S.; Suh, S.-J. The Properties of Cu Thin Films on Ru Depending on the ALD Temperature. J. Nanosci. Nanotechnol. 2015, 15, 1601−1604. (45) Park, K.-H.; Bradley, A. Z.; Thompson, J. S.; Marshall, W. J. Nonfluorinated Volatile Copper(I) 1,3-Diketiminates as Precursors for Cu Metal Deposition via Atomic Layer Deposition. Inorg. Chem. 2006, 45, 8480−8482. (46) Thompson, J. S.; Zhang, L.; Wyre, J. P.; Brill, D. J.; Lloyd, K. G. Vapor Phase Deposition of Copper Films with a Cu(I) β-Diketiminate Precursor. Thin Solid Films 2009, 517, 2845−2850. (47) Vidjayacoumar, B.; Emslie, D. J. H.; Clendenning, S. B.; Blackwell, J. M.; Britten, J. F.; Rheingold, A. Investigation of AlMe3, BEt3, and ZnEt2 as Co-Reagents for Low-Temperature Copper Metal ALD/Pulsed-CVD. Chem. Mater. 2010, 22, 4844−4853. (48) Moon, D.-Y.; Han, D.-S.; Shin, S.-Y.; Park, J.-W.; Kim, B. M.; Kim, J. H. Effects of the Substrate Temperature on the Cu Seed Layer Formed Using Atomic Layer Deposition. Thin Solid Films 2011, 519, 3636−3640. (49) Moon, D.-Y.; Kim, W.-S.; Park, J.-W. Effects of NH3 Plasma PreTreatment of Ta Substrate on Atomic Layer Deposition of Cu Thin Film. J. Nanosci. Nanotechnol. 2012, 12, 3661−3664. (50) Park, J.-M.; Jin, K.; Han, B.; Kim, M. J.; Jung, J.; Kim, J. J.; Lee, W.-J. Atomic Layer Deposition of Copper Nitride Film and Its Application to Copper Seed Layer for Electrodeposition. Thin Solid Films 2014, 556, 434−439. (51) Knisley, T. J.; Ariyasena, T. C.; Sajavaara, T.; Saly, M. J.; Winter, C. H. Low Temperature Growth of High Purity, Low Resistivity Copper Films by Atomic Layer Deposition. Chem. Mater. 2011, 23, 4417−4419. (52) Kalutarage, L. C.; Clendenning, S. B.; Winter, C. H. LowTemperature Atomic Layer Deposition of Copper Films Using Borane Dimethylamine as the Reducing Co-Reagent. Chem. Mater. 2014, 26, 3731−3738. (53) Lee, B. H.; Hwang, J. K.; Nam, J. W.; Lee, S. U.; Kim, J. T.; Koo, S.-M.; Baunemann, A.; Fischer, R. A.; Sung, M. M. Low-Temperature Atomic Layer Deposition of Copper Metal Thin Films: Self-Limiting Surface Reaction of Copper Dimethylamino-2-Propoxide with Diethylzinc. Angew. Chem., Int. Ed. 2009, 48, 4536−4539. (54) Golrokhi, Z.; Marshall, P. A.; Romani, S.; Rushworth, S.; Chalker, P. R.; Potter, R. J. The Influence of Tertiary Butyl Hydrazine as a Co-Reactant on the Atomic Layer Deposition of Silver. Appl. Surf. Sci. 2017, 399, 123−131. (55) Kwon, J.; Saly, M.; Halls, M. D.; Kanjolia, R. K.; Chabal, Y. J. Substrate Selectivity of (tBu-Allyl)Co(CO)3 during Thermal Atomic Layer Deposition of Cobalt. Chem. Mater. 2012, 24, 1025−1030. (56) Juppo, M.; Ritala, M.; Leskelä, M. Use of 1,1-Dimethylhydrazine in the Atomic Layer Deposition of Transition Metal Nitride Thin Films. J. Electrochem. Soc. 2000, 147, 3377−3381. (57) Burton, B. B.; Lavoie, A. R.; George, S. M. Tantalum Nitride Atomic Layer Deposition Using (tert-Butylimido)tris(diethylamido)tantalum and Hydrazine. J. Electrochem. Soc. 2008, 155, D508−D516. (58) Fang, Z.; Aspinall, H. C.; Odedra, R.; Potter, R. J. Atomic Layer Deposition of TaN and Ta3N5 Using Pentakis(dimethylamino)tantalum and Either Ammonia or Monomethylhydrazine. J. Cryst. Growth 2011, 331, 33−39. (59) Knisley, T. J. New Precursors and Chemistry for the Growth of Transition Metal Films by Atomic Layer Deposition. Ph.D. Dissertation, Wayne State University, Detroit, MI, 2012. (60) Ariyasena, T. C. (i) Chromatographic Methods For Solute Descriptor Determinations (ii) Ruthenium Substrate-Catalyzed Growth Of Nickel Nitride Thin Films By Atomic Layer Deposition, Ph.D. Dissertation, Wayne State University, Detroit, MI, 2015. (61) Becker, R.; Devi, A.; Weiss, J.; Weckenmann, U.; Winter, M.; Kiener, C.; Becker, H.-W.; Fischer, R. A. A Study on the MetalOrganic CVD of Pure Copper Films from Low Cost Copper(II) Dialkylamino-2-propoxides: Tuning the Thermal Properties of the Precursor by Small Variations of the Ligand. Chem. Vap. Deposition 2003, 9, 149−156.

(62) Elers, K.-E.; Blomberg, T.; Peussa, M.; Aitchison, B.; Haukka, S.; Marcus, S. Film Uniformity in Atomic Layer Deposition. Chem. Vap. Deposition 2006, 12, 13−24. (63) Ritala, M.; Leskelä, M. Atomic Layer Deposition. In Handbook of Thin Film Materials; Nalwa, H. S., Eds.; Academic Press: San Diego, CA, U.S.A., 2001; Vol. 1, Chapter 2, pp 103−159. (64) Barnat, E. V.; Nagakura, D.; Wang, P.-I.; Lu, T.-M. Real Time Resistivity Measurements during Sputter Deposition of Ultrathin Copper Films. J. Appl. Phys. 2002, 91, 1667−1672. (65) CRC Handbook of Chemistry and Physics, 97th ed; 2016−2017. http://www.hbcpnetbase.com (accessed January 31, 2017).

6510

DOI: 10.1021/acs.chemmater.7b02098 Chem. Mater. 2017, 29, 6502−6510