Remote Plasma Oxidation and Atomic Layer Etching of MoS2 - ACS

Jul 7, 2016 - Exfoliated molybdenum disulfide (MoS2) is shown to chemically oxidize in a layered manner upon exposure to a remote O2 plasma. ... Citat...
7 downloads 5 Views 6MB Size
Research Article www.acsami.org

Remote Plasma Oxidation and Atomic Layer Etching of MoS2 Hui Zhu, Xiaoye Qin, Lanxia Cheng, Angelica Azcatl, Jiyoung Kim, and Robert M. Wallace* Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080, United States S Supporting Information *

ABSTRACT: Exfoliated molybdenum disulfide (MoS2) is shown to chemically oxidize in a layered manner upon exposure to a remote O2 plasma. X-ray photoelectron spectroscopy (XPS), low energy electron diffraction (LEED), and atomic force microscopy (AFM) are employed to characterize the surface chemistry, structure, and topography of the oxidation process and indicate that the oxidation mainly occurs on the topmost layer without altering the chemical composition of underlying layer. The formation of S−O bonds upon short, remote plasma exposure pins the surface Fermi level to the conduction band edge, while the MoOx formation at high temperature modulates the Fermi level toward the valence band through band alignment. A uniform coverage of monolayer amorphous MoO3 is obtained after 5 min or longer remote O2 plasma exposure at 200 °C, and the MoO3 can be completely removed by annealing at 500 °C, leaving a clean ordered MoS2 lattice structure as verified by XPS, LEED, AFM, and scanning tunneling microscopy. This work shows that a remote O2 plasma can be useful for both surface functionalization and a controlled thinning method for MoS2 device fabrication processes. KEYWORDS: molybdenum disulfide, remote oxygen plasma, in situ XPS, band bending, atomic etching

1. INTRODUCTION Molybdenum disulfide (MoS2), a representative layered transition metal dichalcogenide, has obtained considerable research interest in recent years, due to its promising mechanical, electronic, and photonic properties.1,2 As a complement to graphene, MoS2 possesses a layer dependent bandgap varying from the direct 1.8 eV bandgap for monolayer to the indirect 1.2 eV for bulk material.3 The mechanical exfoliation of MoS2 has led to intensive research on thin film field-effect transistors made with MoS2 flakes.4−6 In fact, the exfoliation method often yields low-reproducibility, small-yield and is primarily applicable to the initial sample preparation stage7 and thus not able to achieve further on-demand thickness modification once MoS2 flakes are deposited onto substrates. Large-scale device fabrication currently relies on breakthroughs in the growth and morphology of 2D thin films. Recently, developments in chemical vapor deposition (CVD) have demonstrated monolayer growth of MoS2 and WSe23,8,9 and stacked heterostructures with different 2D materials.10 However, uniform and precise thickness control ability of CVD and other synthesis methods at present is still far from the realistic application demanding. For these reasons, several attempts to etch/thin MoS2 flakes, critical for future device applications, have been reported. For example, laser or Ar+ plasma irradiation methods have demonstrated the ability to physically thin multilayer MoS2 layer-by-layer with sizable patterns.11−13 However, the surface roughness increases 3 times after the laser thinning, and the resolution of the laser thinning method may be limited by the laser spot size.11 Huang et al. take advantage of the highly oxidizing property of xenon © 2016 American Chemical Society

difluoride (XeF2) and gaseous reaction byproducts (i.e., SF6 and MoF3), to show that multilayer MoS2 can be thinned to monolayer within 300 s depending on flake thickness.14 However, a significant surface roughness increase (from initial 0.07 to 0.9 nm) is observed after such thinning.14 Thermal annealing in air7 or an oxygen environment15 at around 330− 340 °C has also been reported as a possible anisotropic etching method relying on the volatile ability of MoOx to form triangular pits; whereas limited etching sizes and randomly distributed etching spots, as well as possible surface oxidation, likely accompany this method. Among these studies, the atomic structural integrity of the thinned flakes remains to be established, and the surface oxidation issue may need to be considered in view of further device applications. Earlier work related to surface oxidation of MoS2, including oxidation by annealing in an O2 environment,7,16 exposure to a relatively high energetic RF O2 plasma17,18 or 1.5−5 eV atomic oxygen flux,19−21 revealed a nonuniform lateral oxidation and in-depth lattice etching. The technology for plasma-based atomic layer etching/ablation of nanomaterials is a very important issue in nanoscience and technology.22,23 Here, a remote O2 plasma technique is considered, integrated with a commercial plasma-enhanced atomic layer deposition reactor, where the treated samples are placed far away (∼52 cm) from the plasma zone so that the most energetic species, such as ions and electrons that may cause etching or physical sputtering in a Received: April 20, 2016 Accepted: July 7, 2016 Published: July 7, 2016 19119

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126

Research Article

ACS Applied Materials & Interfaces

are chosen. The initial exfoliated surface has characteristic, wellresolved Mo 3d and S 2p doublet peaks (see Figure 1). No oxidation related chemical states are detected in either Mo 3d or S 2p regions. Moreover, the O 1s intensity (shown in Figure S1) which is below the XPS detection limits also supports this claim. After the remote O2 plasma treatments with various exposure times, Mo 3d and S 2p substrate spectra as well as valence band regions in Figure 1 shift by the same value of binding energy. Such a shift is related with the Fermi level realignment induced band-bending.30 The band bending can be evaluated by the VBM deduced from the valence band region (see Figure 1 and Table 1). After the 1 min remote plasma treatment, no Mo−O bonding (228−233 eV) is detected, whereas the plasma treatment results in a chemical adsorption of oxygen atoms on the topmost of S atoms, which is detected as an additional S 2p doublet at 164.8 eV corresponding to S−O bonding.31 Meanwhile, the binding energy of Mo 3d5/2, S 2p3/2, and VBM shift upward by 0.8 to 229.7, 162.6, and 1.1 eV, respectively. The VBM (∼1.1 eV) is very close to the bandgap of bulk MoS2, suggesting that the outermost surface region exhibits downward band bending and the Fermi level is shifted closer to the conduction band edge. Importantly, collected results with multiple n/p-type substrates (with VBM ranging from 0.3 to 0.9 eV) suggest that the Fermi level is likely pinned by the S−O bonds, regardless of n/p-type properties. The S−O bonding results in surface states close to the conduction band edge and introduces a strong n-type “pinning” effect on MoS2. Indeed, the previous density functional theory (DFT) study has shown the generation of new states at the conduction band edge after the chemisorption of oxygen on top of sulfur atoms.32 The S/ Mo intensity ratios, calculated from the sensitivity-corrected intensities of the MoS2 substrate peak area, remain the same as that for the initial sample (shown in Table 1), suggesting no sulfur desorption or replacement by oxygen atoms occurs at this point in the process. The surface crystalline structures of the above bulk samples are also investigated by in situ LEED shown in Figure 2. A sharp hexagonal LEED pattern (Figure 2b), with respect to the initial untreated sample (see in Figure 2a), is consistently obtained after the 1 min oxygen plasma exposure. The same downward band-bending effect from XPS and crystalline LEED results has been observed in our previous studies of UV-ozone functionalized MoS2 ,31 where it is noted that the UV-ozone pretreatment results31 (and DFT calculations32) support the formation of S−O bonding which does not change the underlying MoS2 surface structure. The S−O nucleation layer formed by UV-ozone functionalization has been utilized successfully for a uniform nucleation of atomic layer deposited Al2O3 on MoS2.31 Therefore, it is reasonable to suggest that the remote oxygen plasma can also be used as an alternative MoS2 surface functionalization approach to promote the nucleation of high dielectric constant (“high-k”) dielectrics (e.g., Al2O3 and HfO2) for subsequent atomic layer deposition.31,33,34 Based on the XPS peak intensity attenuation method reported previously,31,35 the integrated intensity ratio of the S−O peak to that of the total S 2p peak (“IS−O/IS”) is around 0.16 for 1 layer of S−O coverage. Therefore, the measured IS−O/IS ratios shown in Table 1 suggest a less than one monolayer of S−O bonds after plasma treatments at 200 °C. After increasing plasma exposure time to 5 min or longer, an additional Mo 3d doublet at the binding energy of 232.7 eV is detected, which is as assigned to MoO3.36,37 Based on the

direct O2 plasma environment, are greatly attenuated by recombination before they reach sample surfaces.24,25 Actually no physical sputtering phenomenon is detected from the process described in this work. The main active species in the remote O2 plasma that react with MoS2 are neutral radicals that have a longer lifetime than those of electrons and ions before they reach the sample surface.24,25 In this work, a novel etching approach relying on the controlled oxidation of MoS2 is presented to achieve an atomic layer etching process without underlying substrate damage or oxidation. We examine how the exfoliated MoS2 surface is oxidized by the remote O2 plasma through a comprehensive suite of spectroscopy and microscopy techniques including Xray photoelectron spectroscopy (XPS), low energy electron diffraction (LEED), atomic force microscopy (AFM), and Raman spectroscopy. Importantly, it is found that a uniform monolayer of amorphous molybdenum trioxide (MoO3) can be formed on top of MoS2, and the MoO3 layer can be carefully removed by post-UHV annealing so that the underlying pristine lattice is preserved and confirmed by LEED and scanning tunneling microscopy (STM).

2. RESULTS AND DISCUSSION The Effect of Plasma Exposure Time on the Oxidation of MoS2. Bulk crystals of MoS2 are prepared by the mechanical exfoliation to remove top layers in order to get an oxide free surface. After cleavage, the MoS2 samples are loaded into the UHV system load lock within 15 min and then exposed to a remote oxygen plasmas with different exposure times (1, 5, and 20 min, respectively) in an interconnected atomic layer deposition (ALD) reactor. The substrate temperature during the plasma process is kept at 200 °C, a preferential temperature to form MoO3 within a suitable time as will be discussed in the next temperature effect section. The core level spectra of Mo 3d and S 2p and the valence band spectra from each sample are collected by in situ XPS in order to investigate the resultant surface chemistry and the charge transfer effects, as shown in Figure 1. Further spectral features are also summarized in Table 1.

Figure 1. In situ XPS results of Mo 3d, S 2p, and valence band for the as-exfoliated MoS2 sample and MoS2 exposed to remote O2 plasma for 1, 5, and 20 min, respectively. The substrate is kept at 200 °C during the remote plasma process.

It should be noted that the initial binding energies of Mo 3d, S 2p, and valence band maximum (VBM)26 may vary depending on the specific sample due to the n/p type nature of MoS2 that may originate from stoichiometry variation27,28 or structural defects,29 such as surface steps and dislocations. To investigate the stoichiometry evolution of MoS2 during the 1− 20 min plasma treatments, three samples with similar chemical states and stoichiometry within the error bars shown in Table 1 19120

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126

Research Article

ACS Applied Materials & Interfaces

Table 1. Summary of the Measured Binding Energy, Oxide Thickness, Atomic Ratio of S/Mo, and Integrated Intensity Ratio (IS−O/IS) from the Initial MoS2 Sample and MoS2 Samples after 1, 5, and 20 min Remote O2 Plasma Exposure at 200 °Cb binding energy (eV) Mo 3d5/2 Mo−S initial 1 min 5 min 20 min

228.9 229.7 228.6 228.6

Mo6+−O

232.7 232.7

S 2p3/2 S−Mo 161.8 162.6 161.5 161.5

S−O

VBM

164.8 163.7

0.3 1.1 0.0 0.0

MoO3 thickness

substrate S/Mo ratio

intensity ratio IS−O/IS

a

0.7 nma 0.7 nma

1.89 1.87a 1.91a 1.86a

0.030 ± 0.002 0.016 ± 0.002

The spectral analysis that yields these values is shown in the Supporting Information. bThe error bar for the binding energy is within ±0.05 eV, and the error bar for the substrate S/Mo ratio is within ±0.04. a

chemical states induced by preferential sputtering of sulfur atoms by possible ions/electrons in the remote O2 plasma are below the limit of detection. Therefore, the remote O2 plasma treatments on MoS2 reported here is a mild chemical reaction process. The ex situ topographic AFM images and line profiles from the MoS2 bulk samples after various remote plasma treatment durations at 200 °C are shown in Figure 3. It can be seen that

Figure 2. In situ LEED patterns for (a) initial MoS2 as-exfoliated and (b)-(d) MoS2 exposed to remote O2 plasma for 1, 5, and 20 min, respectively. No long-range order is detected after a 5 min remote plasma exposure. The substrate temperature is 200 °C. The LEED images are taken with energy of 147 eV.

intensity attenuation of the substrate Mo 3d peak,35 the MoO3 thicknesses are ∼0.7 nm for the 5 and 20 min plasma exposure or roughly a monolayer MoO3 (∼0.7 nm theoretically).38 The oxide thickness calculation is described in the Supporting Information. The minimal variation of the deduced MoO3 thickness with increasing plasma treatment time indicates that the coverage of MoO3 saturates upon a 5 min exposure of the remote O2 plasma. The lack of detectable LEED patterns suggests that there is no long-range crystalline surface structure (i.e., the underlying hexagonal MoS2 pattern or a rectangular/ orthorhombic MoO3 pattern39) after the 5 or 20 min plasma exposure, indicative of the formation of an amorphous MoO3 layer. Since the work function of MoO3 (6.6 eV) is higher than that of MoS2, the coverage of MoO3 on MoS2 can induce an upward band-bending (hole doping effect) by band alignment and shift all substrate peaks to lower binding energies.30,40,41 As a result, the underlying S 2p and Mo 3d peaks shift by 1.1 to 161.5 and 228.6 eV, respectively. Especially, the Fermi level realigns to the valence band edge, as indicated by the VBM value of 0 eV, suggesting a deep p-type “doping” by MoO3. This observation is consistent with the expected predictions.30,40,41 More importantly, the substrate Mo 3d or S 2p peaks are not broadened after the remote plasma treatments, in contrast to what has been found in our previous studies of MoOx deposition on MoS2.30 The substrate S/Mo ratios derived from the underlying Mo 3d peak at 228.6 eV and the S 2p peak at 161.5 eV after the formation of MoO3 also remain consistent with that of the initial sample surface. Two highlights are suggested from the XPS analysis. First, a clear interface between the outermost oxide layer and the underlying MoS2 substrate is present and is the key to the realization of atomic layer etching without altering the underlying crystal structure. Second,

Figure 3. Ex situ AFM topographies and line profiles of (a) bulk MoS2 as exfoliated and (b)-(d) bulk MoS2 exposed to remote O2 plasma for 1, 5, and 20 min, respectively. The substrate temperature is 200 °C.

there are no significant morphology variations or root-meansquared (RMS) roughness changes on the MoS2 surfaces, where only S−O bonds are formed. Once the MoO3 is generated from a longer O2 plasma exposure, the surface RMS roughness increases (see Figure 3c and 3d). The 5 and 20 min remote O2 plasma exposures result in a full coverage of amorphous MoO3 on MoS2 substrates, so that the underlying MoS2 crystal structures are not detected by LEED (see Figure 2c and 2d). However, due to the saturated surface oxidation, a more localized nonuniform height variation and smaller surface RMS roughness is detected on the 20 min remote oxygen plasma exposed sample. 19121

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126

Research Article

ACS Applied Materials & Interfaces

Mo(6‑x)+ oxide detected here, owing to the increased energy for oxygen to substitute sulfur atoms. A longer plasma exposure such as 20 min at 100 °C, as Figure S2 shows, is able to form MoO3. When the substrate temperature is increased to 400 °C during the remote plasma treatment, a portion of the MoO3 is reduced to Mo 5+ oxide (∼231.5 eV) by the thermal sublimation, evidenced from a highly defective surface (shown in Figure 5d). The oxygen sublimation has resulted

The Impact of Substrate Temperature on the Oxidation of MoS2. The surface properties of plasmamodified MoS2 also depend on other processing parameters, viz. plasma power17,18 and gas pressure.18 Additionally, the substrate temperature during the remote O2 plasma exposure is also found to impact the composition and the coverage of the resulting MoOx. Previous work has shown the substoichiometric nature of MoOx upon thermal annealing (100−450 °C),36,38,42 UV irradiation,43 or metal deposition.44 The binding energy as well as work function of MoO3 can gradually decrease with increasing oxygen defieciency.30,36,39,44 Therefore, it is critical to study temperature effects on the oxidation process of MoS2 to minimize the potential etching caused by the evaporation of MoOx. Figure 4 shows the evolution of the normalized core levels of Mo 3d, S 2p, and valence band from bulk MoS2 samples

Figure 4. XPS spectra of Mo 3d, S 2p, and valence band regions for MoS2 after exfoliation and after exposure to remote O2 plasmas at a substrate temperature of RT, 100 °C, 200 °C, and 400 °C, respectively. The plasma exposure time is 5 min. Figure 5. AFM topographies and line profiles for bulk MoS2 samples after exposure to remote O2 plasmas at a substrate temperature of (a) RT; (b) 100 °C; (c) 200 °C; and (d) 400 °C, respectively. The plasma exposure time is 5 min. The morphology/line profile data in Figure 3c are reused in part c to conveniently compare the surfaces under the same plasma parameters except different substrate temperatures.

exposed to the remote O2 plasma at different substrate temperatures. The plasma treatment time is 5 min for each sample, which is long enough at 200 °C to achieve a saturated and uniform oxide monolayer. Here an n-type sample (VBM ∼ 0.9 eV) before the room temperature (RT) plasma treatment is presented to compare with the above p-type samples (VBM ∼ 0.3 eV as shown in Figure 1). At RT, only S−O bonding with an IS−O/IS ratio of 0.1 ± 0.01 is detected, and the VBM also shifts to the 1.1 eV position. Therefore, it is consistent with previous discussion that the n/p-substrate does not affect the downward band-bending induced by S−O bond formation. When the substrate temperature increases to 100 °C or above, MoOx is formed, and the S−O peak intensity continues to reduce due to its relatively weak thermal stability.31 The composition of MoOx is also temperature dependent. Take the sample at 100 °C for example: two chemical states in the Mo 3d spectra are identified with a lower one at 229.3 eV originating from the substrate MoS2 and an upper one at 232.2 eV from the molybdenum oxide. However, the binding energy of this Mo oxide is lower than the above measured 232.7 eV for Mo6+ oxide (e.g., 400 °C in this spectra), whereas it is higher than the reported 231.0−231.5 eV for Mo5+ oxide42−44 or 228.7−229.5 eV for Mo4+ oxide.37,44 Given the decreasing binding energy and work function behavior of MoO3 with increasing oxygen deficiency,36,42 a substoichiometric molybdenum trioxide (Mo(6‑x)+) is assigned for this new Mo oxide peak. Although the reported oxygen sublimation can happen at temperatures as low as 100 °C,36 this is not the reason for the formation of

in an etching effect, forming a lateral discontinuity and rough surface (RMS roughness ∼1.69 nm). In addition, the substrate XPS spectra broadens slightly (see Figure 4), consistent with more bond formation diversity due to etching. The phenomenon is more apparent in the substrate Mo 3d spectral peak, which exhibits an extra shoulder peak at ∼0.5 eV higher than that of the substrate MoS2 peak. Given the assumption that oxygen is preferentially adsorbing at S vacancy sites resulting from the etching, this shoulder is assigned to an intermediate O−Mo−S state.32 More importantly, the above results suggest that, rather than physical bombardment from energetic ions and electrons that exist in the case of a direct O2 plasma atmosphere,24,25 the etching effect is favorable because of the relatively higher desorption rate of surface oxides compared to the oxidation rate at 400 °C. The corresponding LEED images for each sample are shown in Figure S3 and are consistent with above discussion in that a sharp hexagonal pattern is maintained when there is no Mo−O bond detected and disappears upon the formation of amorphous Mo oxides. Atomic Layer Etching by Thermal Annealing. The decomposition or desorption of the monolayer MoO3 is also 19122

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126

Research Article

ACS Applied Materials & Interfaces

Figure 6. Thermal stability of the MoOx layer on MoS2 by annealing in vacuum system. The MoS2 sample has been exposed to an in situ remote O2 plasma for 20 min under a substrate temperature of 200 °C to form the MoOx layer. (a) XPS results during the annealing process. (b) Corresponding LEED pattern, (c) topographic AFM image, and (d-e) STM images of the sample after 500 °C annealing. The LEED image is taken with energy of 147 eV. The STM images are taken with (d) Vbias = 1.2 V, It = 0.3 nA and (e) Vbias = 0.9 V, It = 0.9 nA. The inset shows a high resolution atomic image of the resultant surface.

further suggests that the top monolayer MoO3 is etched away completely through 500 °C annealing, leaving a clean underlying surface with a crystalline structure. To further confirm the quality of the resulting surface, a large topographic image (10 μm × 10 μm, Figure 6c) and a more localized image (200 nm × 200 nm, Figure 6d) are presented with ex situ AFM and ex situ STM, respectively. The topographic images show clear step edges and clean surfaces without large etched pits. A small concentration of bright clusters (white arrows shown in Figure 6d) remains and is assigned to MoOx, which has not completely desorbed, as detected from the small O 1s peak in Figure 6a. Additionally, S vacancies (dark depressions marked with black squares shown in Figure 6d) with depths of less than 0.7 nm are observed. Such type of S vacancy defects can be formed by missing a S−Mo−S segment that may also be common on geological, exfoliated MoS2 based on our previous STM research.28 Comparing the S/Mo ratio of the initial MoS2 sample (1.83 ± 0.04) with that of the 500 °C annealed sample (1.76 ± 0.04) suggests S vacancies may occur after such annealing. Moreover, the postetch atomic structure (Figure 6e) obtained with STM shows a characteristic 2H-MoS2 structure with a lattice constant of 3.2 ± 0.07 Å, consistent with the literature.28 This evidence demonstrates a minimized thermal stress during the postannealing process. Importantly, the two-step etching method (remote O2 plasma treatment and postannealing) demonstrated on the exfoliated, bulk MoS2 surface studied above also works on multilayer MoS2 flakes, where edge sites of the MoS2 2D sheets were reported to be oxidized/etched more quickly than central regions due to higher reactivity.15 Multiple MoS2 flakes were prepared by mechanical exfoliation and transferred onto the Si/ SiO2 (285 nm) substrate.48 The optical contrast of MoS2 flakes12 and ex situ Raman analysis11,12 of the frequency separation between the in-plane E12g (∼382 cm−1) and out-of-

confirmed in our study, where a remote O2 plasma exposed bulk MoS2 sample at 200 °C (20 min) is subsequently in situ annealed in vacuum (P = 10−9 mbar) at 350 °C/0.5 h and 500 °C/0.5 h, respectively. The decomposition process is shown in Figure 6a where the intensities of Mo oxide and the corresponding O 1s core level chemical state decrease significantly after a 350 °C annealing and close to the XPS detection limit after the 500 °C annealing. In addition, after the annealing at 350 °C, the Mo6+ oxidation state is mainly reduced to the lower intermediate Mo5+ state, while another possible Mo4+ state (which may form upon heating of Mo6+ oxide) is near the XPS detection limit.45 With the reduction of Mo6+ oxide to the Mo5+ oxide, the p-type doping effect is reduced so that the substrate Mo 3d and S 2p peaks are shifted back toward the original positions. The desorption of MoO3 (at ∼500 °C) is consistent with the reported sublimation temperature range of 500−550 °C in vacuum38,43,38 and is related to its vapor pressure with increased temperature (viz. reaches to 2 × 10−8 atm at 500 °C).46,47 After the desorption of Mo oxides, the peak-widths of the substrate Mo 3d and S 2p peaks are the same as that of the initial states, suggesting the lattice integrity of the fresh surface is preserved. This suggests again that an abrupt interface between the top oxide layer and the underlying MoS2 substrate is present. Otherwise, a nonuniform/in-depth oxidation process (similar to the above MoS2 sample that was treated with the remote O2 plasma at 400 °C) would result in broadened substrate Mo 3d and S 2p peaks due to the formation of O−Mo−S bonding. Moreover, the subsequent thermal desorption of MoOx and such O−Mo−S species would also be expected to break the underlying MoS2 lattice continuity and broaden Mo 3d and S 2p peaks as a result. In contrast, a sharp, in situ hexagonal LEED pattern (shown in Figure 6b) is recovered after the 500 °C annealing and 19123

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126

Research Article

ACS Applied Materials & Interfaces plane A1g (∼405 cm−1) vibration modes are used to identify the flake thickness. As expected, the E12g and A1g modes downshifts and upshifts, respectively, with the number of layers going from monolayer to bulk.49,50 The A1g frequency shift is associated with an increasing restoring force for adjacent sulfur atoms due to van der Waals interlayer interaction, while the E12g frequency shift is related with the enhancement of dielectric screening effect.49,50 With the Raman analysis (parameters described in the Methods section), the peak separation is generally around 19 ± 1 cm−1 for monolayer (1L) MoS2, 21.0 ± 0.5 cm−1 for bilayer (2L) MoS2, 23.5 ± 0.5 cm−1 for 3L MoS2, and 24.2 ± 0.2 cm−1 for 4L MoS2. These results agree well with previous reports.11,12 From the Raman spectra measured before and after the two-step etching on multiple samples (shown in Figure 7a),

remote O2 plasma to form a MoOx surface layer and subsequent annealing to selectively desorb the MoOx surface layer. The remote plasma oxidation treatment is mainly a surface reaction process, depending on the plasma exposure time and the substrate temperature. With insufficient plasma exposure time, relatively weak S−O bonds are formed and pin the Fermi level of MoS2 to the conduction band edge (deep ntype effect) without altering substrate structural or chemical composition. By regulating the remote plasma treatment parameters, a surface with MoOx species or a monolayer of MoO3 on MoS2 can be produced while avoiding induced underlying stoichiometry, crystal structure, and surface roughness often found in previous direct RF-O2 plasma treatments17 or atomic oxygen treatments.19 The MoOx layer can also induce a p-type band bending due to the work function difference between MoOx and MoS2. The resultant monolayer of amorphous MoO3 can be removed by thermal annealing at 500 °C, leaving a clean, flat, and chemically undisturbed MoS2 surface as evidenced from LEED, AFM, and STM characterization. The uniform, conformal single layer oxidation/etching behavior on multilayer MoS2 flakes has also been demonstrated using Raman and AFM analysis and is consistent with that on MoS2 bulk samples. The remote O2 plasma treatment has thus been found to serve as a mild, conformal surface oxidizing method without physical etching of the underlying MoS2 at moderate substrate temperatures (less than 400 °C). This method renders promising atomic scale fabrication applications such as surface functionalization, charging engineering, and atomic layer etching.

Figure 7. (a) Raman spectra of 1−4 layer MoS2 before (blue line) and after (red dash line) the two-step etching. (b-c), (d-e), and (f) show Raman peak separation (A1g−E12g) mapping contrast, AFM topographic contrast, and line profile contrast for MoS2 flakes before and after the two-step etching, respectively.

4. EXPERIMENTAL SECTION Remote O2 Plasma Treatment. Bulk pristine MoS2 samples (thicker than 1 mm) were prepared by mechanical exfoliation using ScotchMagic tape to remove top several layers and then loaded into an ultrahigh vacuum (UHV) system (base pressure ∼10−10 mbar). The UHV system connects a X-ray photoelectron spectroscopy (XPS) analysis chamber, a low energy electron diffraction (LEED) chamber, and a remote plasma-enhanced atomic layer deposition (PEALD) chamber where the remote plasma treatment was performed. The details of the UHV system are given elsewhere.52 Before plasma treatments, XPS and LEED analysis were performed on the exfoliated bulk samples to evaluate the surface quality. The remote O2 plasma was generated by a Litmas Remote Plasma Source with a plasma power of 2500 W, a RF excitation range of 1.9−3.2 MHz, and an O2 flow rate of 130 sccm in a Picosun R-200 PEALD tool integrated onto the UHV system. The pressure inside the plasma source chamber was then regulated to 260 mbar, whereas the PEALD chamber during the plasma treatment was kept at 6 mbar. The heated wafer chuck (on which the samples are mounted on Ta plates) of the PEALD chamber is ∼52 cm away from the plasma generator, thereby enabling the quenching of energetic species through recombination processes in the ambient. Since all remote plasma treatments were done at a designated substrate temperature, a 10−15 min thermal stabilization was executed after transferring MoS2 samples into the PEALD chamber and before igniting the remote O2 plasma. The substrate temperature in the PEALD chamber can be regulated between room temperature (RT) up to 500 °C. The remote plasma treatment time was varied from 1 to 20 min. After the plasma treatment, the samples were then transferred through a UHV transfer tube (∼10−10 mbar) to the analysis chamber for XPS and LEED analysis, avoiding spurious contamination from atmospheric exposure. We therefore define this configuration as “in situ” XPS analysis. Postannealing after Plasma Treatments. To observe the decomposition/desorption process of molybdenum oxides at elevated temperatures, the remote O2 plasma treated MoS2 samples were transferred to an interconnected annealing chamber for 350 and 500

it is clear that the E12g upshifts and the A1g downshifts, while the frequency separation between these two Raman active modes decreases from one layer region to the next region, suggesting that only 1 layer of MoS2 is uniformly oxidized and removed. The optical contrast before and after the two-step etching of multiple MoS2 flakes are also shown in Figure S4, to confirm the experimental reproducibility. Moreover, the Raman mapping before and after the two-step etching (shown in Figure 7b-c) shows that the oxidation/etching is conformal on each thickness of layers, even around the step edge sites of MoS2 sheets. Additionally, no MoO3 corresponding peaks (∼820 cm−1)15 can be identified even after the oxidation layer is formed, suggesting that the Raman technique is not as surface sensitive as XPS.34 For flake thicknesses above 4 layers, the frequency shift of each mode is not resolved due to the spectrometer resolution (∼0.5 cm−1); therefore, AFM analysis is used.49−51 As shown in Figure 7d-f (or in Figure S5), the etching step height is around 0.9−1.1 nm, which is close to the thickness value of one layer of MoS2 (∼0.7 nm). Thus, the remote plasma and subsequent annealing method for atomic layer etching is consistent on both bulk MoS2 and multilayer flakes of MoS2 and highlights a novel approach to manipulate layered MoS2 at a large atomic scale.

3. CONCLUSION A novel MoS2 functionalization and layer thinning process is presented by combining the surface oxidation of MoS2 with a 19124

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126

Research Article

ACS Applied Materials & Interfaces °C in situ annealing for 30 min, respectively. XPS scanning was conducted after each process. After the 500 °C annealing, in situ LEED and ex situ atomic force microscopy (AFM) and scanning tunneling microscopy (STM) were used to check the atomic structure and the surface topography, respectively. Characterization. The XPS analysis was performed in an interconnected chamber using a monochromatic Al Kα X-ray source (hν = 1486.7 eV) with a takeoff angle of 45° from the substrate normal and a pass energy of 15 eV. The Mo 3d, S 2p, C 1s, and O 1s core levels and valence band regions of each sample were recorded. The analyzer was calibrated using sputter cleaned Au, Cu, and Ag foils, as is outlined in the ASTM E2108 procedure.53 The deconvolution of XPS was carried out with AAnalyzer software.54 The Mo 3d and S 2p spectra were fitted with a Gaussian−Lorentzian convolution function, Shirley backgrounds, doublet ratios of 0.67 (Mo 3d) and 0.5 (S 2p), and spin−orbit splitting binding energy separations of 3.15 eV (Mo 3d) and 1.18 eV (S 2p). Sensitivity factors (S) of 2.867 for Mo 3d and 0.570 for S 2p are used to determine the stoichiometry of S/Mo ratio.55 AFM images were obtained ex situ using a Veeco (Bruker) Multimode system in noncontact tapping mode, and STM was also performed ex situ using an Omicron variable temperature STM system described elsewhere.56 The AFM and STM images were analyzed using WSxM software. Raman analysis was performed on MoS2 flakes ex situ using an Invia Confocal Renishaw microscopy with a laser wavelength λ ∼532 nm, laser power ∼0.22 mW, and spot size ∼500 nm.



(3) Zhu, W.; Low, T.; Lee, Y.-H.; Wang, H.; Farmer, D. B.; Kong, J.; Xia, F.; Avouris, P. Electronic Transport and Device Prospects of Monolayer Molybdenum Disulphide Grown by Chemical Vapour Deposition. Nat. Commun. 2014, 5, 3087. (4) Fuhrer, M. S.; Hone, J. Measurement of Mobility in Dual-Gated MoS2 Transistors. Nat. Nanotechnol. 2013, 8, 146−147. (5) Kim, S.; Konar, A.; Hwang, W.-S.; Lee, J. H.; Lee, J.; Yang, J.; Jung, C.; Kim, H.; Yoo, J.-B.; Choi, J.-Y.; Jin, Y. W.; Lee, S. Y.; Jena, D.; Choi, W.; Kim, K. High-Mobility and Low-Power Thin-Film Transistors Based on Multilayer MoS2 Crystals. Nat. Commun. 2012, 3, 1011. (6) Liu, H.; Neal, A. T.; Ye, P. D. Channel Length Scaling of MoS2 MOSFETs. ACS Nano 2012, 6, 8563−8569. (7) Wu, J.; Li, H.; Yin, Z.; Li, H.; Liu, J.; Cao, X.; Zhang, Q.; Zhang, H. Layer Thinning and Etching of Mechanically Exfoliated MoS2 Nanosheets by Thermal Annealing in Air. Small 2013, 9, 3314−3319. (8) Lin, Y.; Chang, C. S.; Ghosh, R. K.; Li, J.; Zhu, H.; Diaconescu, B.; Ohta, T.; Peng, X.; Lu, N.; Kim, M. J.; Robinson, J. T.; Wallace, R. M.; Mayer, T. S.; Datta, S.; Li, L.; Robinson, J. A.; Addou, R. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene. Nano Lett. 2014, 14, 6936−6941. (9) Huang, J. K.; Pu, J.; Hsu, C. L.; Chiu, M. H.; Juang, Z. Y.; Chang, Y. H.; Chang, W. H.; Iwasa, Y.; Takenobu, T.; Li, L. J. Large-Area Synthesis of Highly Crystalline WSe2 Monolayers and Device Applications. ACS Nano 2014, 8, 923−930. (10) Lin, Y.-C.; Ghosh, R. K.; Addou, R.; Lu, N.; Eichfeld, S. M.; Zhu, H.; Li, M.-Y.; Peng, X.; Kim, M. J.; Li, L.-J.; Wallace, R. M.; Datta, S.; Robinson, J. A. Atomically Thin Resonant Tunnel Diodes Built from Synthetic van der Waals Heterostructures. Nat. Commun. 2015, 6, 7311. (11) Castellanos-Gomez, A.; Barkelid, M.; Goossens, A. M.; Calado, V. E.; van der Zant, H. S. J.; Steele, G. A. Laser-Thinning of MoS2: On Demand Generation of a Single-Layer Semiconductor. Nano Lett. 2012, 12, 3187−3192. (12) Liu, Y.; Nan, H.; Wu, X.; Pan, W.; Wang, W.; Bai, J.; Zhao, W.; Sun, L.; Wang, X.; Ni, Z. Layer-by-Layer Thinning of MoS2 by Plasma. ACS Nano 2013, 7, 4202−4209. (13) Lin, T.; Kang, B.; Jeon, M.; Huffman, C.; Jeon, J.; Lee, S.; Han, W.; Lee, J.; Lee, S.; Yeom, G.; Kim, K. Controlled Layer-by-Layer Etching of MoS2. ACS Appl. Mater. Interfaces 2015, 7, 15892−15897. (14) Huang, Y.; Wu, J.; Xu, X.; Ho, Y.; Ni, G.; Zou, Q.; Koon, G. K. W.; Zhao, W.; Castro Neto, A. H.; Eda, G.; Shen, C.; Ö zyilmaz, B. An Innovative Way of Etching MoS2: Characterization and Mechanistic Investigation. Nano Res. 2013, 6, 200−207. (15) Zhou, H.; Yu, F.; Liu, Y.; Zou, X.; Cong, C.; Qiu, C.; Yu, T.; Yan, Z.; Shen, X.; Sun, L.; Yakobson, B. I.; Tour, J. M. ThicknessDependent Patterning of MoS2 Sheets with Well-Oriented Triangular Pits by Heating in Air. Nano Res. 2013, 6, 703−711. (16) Yamamoto, M.; Einstein, T. L.; Fuhrer, M. S.; Cullen, W. G. Anisotropic Etching of Atomically Thin MoS2. J. Phys. Chem. C 2013, 117, 25643−25649. (17) Brown, N. M. D.; Cui, N.; Mckinley, A. An XPS Study of the Surface Modification of Natural MoS2 Following Treatment in an RFOxygen Plasma. Appl. Surf. Sci. 1998, 134, 11−12. (18) Cui, N.; Brown, N. M. D.; Mckinley, A. An AFM Study of the Topography of Natural MoS2 Following Treatment in an RF-Oxygen Plasma. Appl. Surf. Sci. 1999, 151, 17−28. (19) Martin, J. A.; Cross, J. B.; Pope, L. E. MoS2 Interaction with 1.5 eV Atomic Oxygen. MRS Online Proc. Libr. 1989, 140, 271−276. (20) Tagawa, M.; Yokota, K.; Ohmae, N.; Matsumoto, K.; Suzuki, M. Hyperthermal Atomic Oxygen Interaction with MoS2 Lubricants Relevance to Space Environmental Effects in Low Earth Orbit Atomic Oxygen-Induced Oxidation. Tribol. Lett. 2004, 17, 859−865. (21) Tagawa, M.; Yokota, K.; Matsumoto, K.; Suzuki, M.; Teraoka, Y.; Kitamura, A.; Belin, M.; Fontaine, J.; Martin, J.-M. Space Environmental Effects on MoS2 and Diamond-like Carbon Lubricating Films: Atomic Oxygen-Induced Erosion and Its Effect on Tribological Properties. Surf. Coat. Technol. 2007, 202, 1003−1010.

ASSOCIATED CONTENT

S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.6b04719. XPS spectra of O 1s and C 1s core levels for MoS2 samples treated with remote O2 plasma at 200 °C; calculation methods for the thicknesses of MoO3 and the ratio of substrate S/Mo; remote O2 plasma at 100 °C for different exposure times; LEED patterns for MoS2 samples treated with remote O2 plasma at different substrate temperatures; optical contrast of MoS2 flakes before and after the two-step etching; complementary AFM images and line profiles for MoS2 flakes before and after the two-step etching (PDF)



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS The authors thank Prof. C. L. Hinkle, Prof. J. Hsu, and Dr. R. Addou for useful discussions. This work was supported in part by the SWAN Center, a SRC center sponsored by the Nanoelectronics Research Initiative and NIST, the Center for Low Energy Systems Technology (LEAST), one of the six SRC STARnet Centers, sponsored by MARCO and DARPA, and the US/Ireland R&D Partnership (UNITE) under the NSF award ECCS-1407765.



REFERENCES

(1) Cao, T.; Wang, G.; Han, W.; Ye, H.; Zhu, C.; Shi, J.; Niu, Q.; Tan, P.; Wang, E.; Liu, B.; Feng, J. Valley-Selective Circular Dichroism of Monolayer Molybdenum Disulphide. Nat. Commun. 2012, 3, 887. (2) Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-Layer MoS2 Transistors. Nat. Nanotechnol. 2011, 6, 147−150. 19125

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126

Research Article

ACS Applied Materials & Interfaces

(42) Song, Z.; Cai, T.; Chang, Z.; Liu, G.; Rodriguez, J. A.; Hrbek, J. Molecular Level Study of the Formation and the Spread of MoO3 on Au(111) by Scanning Tunneling Microscopy and X-Ray Photoelectron Spectroscopy. J. Am. Chem. Soc. 2003, 125, 8059−8066. (43) Fleisch, T. H.; Mains, G. J. An XPS Study of the UV Reduction and Photochromism of MoO3 and WO3. J. Chem. Phys. 1982, 76, 780. (44) Greiner, M. T.; Chai, L.; Helander, M. G.; Tang, W.-M.; Lu, Z.H. Metal/Metal-Oxide Interfaces: How Metal Contacts Affect the Work Function and Band Structure of MoO3. Adv. Funct. Mater. 2013, 23, 215−226. (45) Choi, J.-G.; Thompson, L. T. XPS Study of As-Prepared and Reduced Molybdenum Oxides. Appl. Surf. Sci. 1996, 93, 143−149. (46) Kohl, W. H. Handbook of Materials and Techniques for Vacuum Devices; Reinhold Publishing Corp: New York, 1967; Vol. 4, ISBN: 0278921124. (47) Gulbransen, E. A.; Andrew, K. F.; Brassart, F. A. Vapor Pressure of Molybdenum Trioxide. J. Electrochem. Soc. 1963, 110, 242−243. (48) Novoselov, K. S.; Jiang, D.; Schedin, F.; Booth, T. J.; Khotkevich, V. V.; Morozov, S. V.; Geim, A. K. Two-Dimensional Atomic Crystals. Proc. Natl. Acad. Sci. U. S. A. 2005, 102, 10451− 10453. (49) Lee, C.; Yan, H.; Brus, L. E.; Heinz, T. F.; Hone, J.; Ryu, S. Anomalous Lattice Vibrations of Single- and Few-Layer MoS2. ACS Nano 2010, 4, 2695−2700. (50) Molina-Sánchez, A.; Wirtz, L. Phonons in Single-Layer and FewLayer MoS2 and WS2. Phys. Rev. B: Condens. Matter Mater. Phys. 2011, 84, 1−8. (51) Zhang, X.; Qiao, X.-F.; Shi, W.; Wu, J.-B.; Jiang, D.-S.; Tan, P.H. Phonon and Raman Scattering of Two-Dimensional Transition Metal Dichalcogenides from Monolayer, Multilayer to Bulk Material. Chem. Soc. Rev. 2015, 44, 2757−2785. (52) Wallace, R. M. In-Situ Studies of Interfacial Bonding of High-K Dielectrics for CMOS Beyond 22nm. ECS Trans. 2008, 16, 255−271. (53) ASTM E2108-05. Standard Practice for Calibration of the Electron Binding-Energy Scale of an X-Ray Photoelectron Spectrometer; ASTM International: West Conshohocken, PA, 2010. www.astm.org (accessed July 1, 2016). (54) Herrera-Gómez, A.; Hegedus, A.; Meissner, P. L. Chemical Depth Profile of Ultrathin Nitrided SiO2 Films. Appl. Phys. Lett. 2002, 81, 1014−1016. (55) Moulder, J. F.; Stickle, W. F.; Sobol, P. E.; Bomben, K. D. Handbook of X-Ray Photoelectron Spectroscopy; Perkin-Elmer Corp: MN, 1995; ISBN: 0-9648124-1-X. (56) Wallace, R. M. In-Situ Studies on 2D Materials. ECS Trans. 2014, 64, 109−116.

(22) Woong Jang, C.; Tae Byun, Y.; Ha Woo, D.; Lee, S.; Min Jhon, Y. Oxygen Plasma Post Process to Obtain Consistent Conductance of Carbon Nanotubes in Carbon Nanotube Field-Effect Transistors. Appl. Phys. Lett. 2012, 101, 173104. (23) Jhon, Y. I.; Min, K. S.; Yeom, G. Y.; Jhon, Y. M. Understanding Time-Resolved Processes in Atomic-Layer Etching of Ultra-Thin Al2O3 Film Using BCl3 and Ar Neutral Beam. Appl. Phys. Lett. 2014, 105, 093104. (24) Saloum, S.; Naddaf, M.; Alkhaled, B. Active Species Characterization in RF Remote Oxygen Plasma Using Actinometry OES and Electrical Probes. Vacuum 2010, 85, 439−442. (25) Inagaki, N.; Tasaka, S.; Kawai, H. Surface Modification of Aromatic Polyamide Film by Oxygen Plasma. J. Polym. Sci., Part A: Polym. Chem. 1995, 33, 2001−2011. (26) Chambers, S. A.; Droubay, T.; Kaspar, T. C.; Gutowski, M. Experimental Determination of Valence Band Maxima for SrTiO3, TiO2, and SrO and the Associated Valence Band Offsets with Si(001). J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 2004, 22, 2205− 2215. (27) Mcdonnell, S.; Addou, R.; Buie, C.; Wallace, R. M.; Hinkle, C. L. Defect-Dominated Doping and Contact Resistance in MoS2. ACS Nano 2014, 8, 2880−2888. (28) Addou, R.; Colombo, L.; Wallace, R. M. Surface Defects on Natural MoS2. ACS Appl. Mater. Interfaces 2015, 7, 11921−11929. (29) Mahatha, S. K.; Menon, K. S. R. Inhomogeneous Band Bending on MoS2(0001) Arising From Surface Steps and Dislocations. J. Phys.: Condens. Matter 2012, 24, 305502. (30) McDonnell, S.; Azcatl, A.; Addou, R.; Gong, C.; Battaglia, C.; Chuang, S.; Cho, K.; Javey, A.; Wallace, R. M. Hole Contacts on Transition Metal Dichalcogenides: Interface Chemistry and Band Alignments. ACS Nano 2014, 8, 6265−6272. (31) Azcatl, A.; McDonnell, S.; KC, S.; Peng, X.; Dong, H.; Qin, X.; Addou, R.; Mordi, G. I.; Lu, N.; Kim, J.; Kim, M. J.; Cho, K.; Wallace, R. M. MoS2 Functionalization for Ultra-Thin Atomic Layer Deposited Dielectrics. Appl. Phys. Lett. 2014, 104, 111601. (32) KC, S.; Longo, R. C.; Wallace, R. M.; Cho, K. Surface Oxidation Energetics and Kinetics on MoS2 Monolayer. J. Appl. Phys. 2015, 117, 135301. (33) Azcatl, A.; Kc, S.; Peng, X.; Lu, N.; Mcdonnell, S.; Qin, X.; de Dios, F. HfO2 on UV-O3 Exposed Transition Metal Dichalcogenides: Interfacial Reactions Study. 2D Mater. 2015, 2, 014004. (34) Cheng, L.; Qin, X.; Lucero, A. T.; Azcatl, A.; Huang, J.; Wallace, R. M.; Cho, K.; Kim, J. Atomic Layer Deposition of a High-K Dielectric on MoS2 Using Trimethylaluminum and Ozone. ACS Appl. Mater. Interfaces 2014, 6, 11834−11838. (35) Zhu, H.; McDonnell, S.; Qin, X.; Azcatl, A.; Cheng, L.; Addou, R.; Kim, J.; Ye, P. D.; Wallace, R. M. Al2O3 on Black Phosphorus by Atomic Layer Deposition: An in Situ Interface Study. ACS Appl. Mater. Interfaces 2015, 7, 13038−13043. (36) Anwar, M.; Hogarth, C.; Bulpett, R. Effect of Substrate Temperature and Film Thickness on the Surface Structure of Some Thin Amorphous Films of MoO3 Studied by X-Ray Photoelectron Spectroscopy (ESCA). J. Mater. Sci. 1989, 24, 3087−3090. (37) Werfel, W.; Minni, E. Photoemission Study of the Electronic Structure of Mo and Mo Oxides. J. Phys. C: Solid State Phys. 1983, 16, 6091−6100. (38) Du, Y.; Li, G.; Peterson, E. W.; Zhou, J.; Zhang, X.; Mu, R.; Dohnálek, Z.; Bowden, M.; Lyubinetsky, I.; Chambers, S. A. IsoOriented Monolayer α-MoO3 (010) Films Epitaxially Grown on SrTiO3(001). Nanoscale 2016, 8, 3119−3124. (39) Firment, L. E.; Ferretti, A. Stoichiometric and Oxygen Deficient MoO3(010) Surfaces. Surf. Sci. 1983, 129, 155−176. (40) Chuang, S.; Battaglia, C.; Azcatl, A.; McDonnell, S.; Kang, J. S.; Yin, X.; Tosun, M.; Kapadia, R.; Fang, H.; Wallace, R. M.; Javey, A. MoS2 P-Type Transistors and Diodes Enabled by High Work Function MoOx Contacts. Nano Lett. 2014, 14, 1337−1342. (41) Yamamoto, M.; Dutta, S.; Aikawa, S.; Nakaharai, S.; Wakabayashi, K. Self-Limiting Layer-by-Layer Oxidation of Atomically Thin WSe2. Nano Lett. 2015, 15, 2067−2073. 19126

DOI: 10.1021/acsami.6b04719 ACS Appl. Mater. Interfaces 2016, 8, 19119−19126